Skip to main content

Part of the book series: Lecture Notes in Computer Science ((LNPSE,volume 3728))

Abstract

DRAM idle power consumption consists for a large part of the power required for the refresh operation. This is exacerbated by (i) increasing amount of memory devoted to cache, that filter out many accesses to DRAM, and (ii) increased temperature of the chips, which increase leakage and thus data retention times. The well-known structured distribution of zeros in a memory, combined with the observation that cells containing zeros in a DRAM do not require to be refreshed, can be constructively used together to reduce the unnecessary number of required refresh operations. We propose a value-based selective refresh scheme in which both horizontal and vertical clusters of zeros are identified and used to selectively deactivated refresh of such clusters. As a result, our technique significantly achieves a net reduction of the number of refresh operations on average of 31%, evaluated on a set of typical embedded applications.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Keitel-Schulz, D., Wehn, N.: Embedded DRAM Development: Technology, Physical Design, and Application Issues. IEEE Design and Test 18(3), 7–15 (2001)

    Article  Google Scholar 

  2. Yoon, C.-W., et al.: A 80/20MHz 160mW Multimedia Processor integrated with Embedded DRAM MPEG-4 Accelerator and 3D Rendering Engine for Mobile Applications. In: ISSCC 2004, February 2004, pp. 202–522 (2004)

    Google Scholar 

  3. Woo, R., et al.: A Low-Power Graphics LSI integrating 29Mb Embedded DRAM for Mobile Multimedia Applications. In: ASPDAC 2004, February 2004, pp. 1758–1767 (2004)

    Google Scholar 

  4. Morishita, F., et al.: A 312MHz 16Mb Random-Cycle Embedded DRAM Macro with 73/spl mu/W Power-Down Mode for Mobile Applications. In: ISSCC 2004, February 2004, pp. 202–522 (2004)

    Google Scholar 

  5. Delaluz, V., et al.: Hardware and Software Techniques for Controlling DRAM Power Modes. IEEE Transactions on Computers 50(11), 1154–1173 (2001)

    Article  Google Scholar 

  6. Villa, L., Zhang, M., Asanoivc, K.: Dynamic zero compression for cache energy reduction. In: Micro-33: 33rd International Symposium on Microarchitecture, December 2000, pp. 214–220 (2000)

    Google Scholar 

  7. Zhang, Y., Yang, J., Gupta, R.: Frequent Value Locality and Value-Centric Data Cache Design. In: ASPLOS 2000, November 2000, pp. 150–159 (2000)

    Google Scholar 

  8. Chang, Y.J., Yang, C.L., Lai, F.: Value-Conscious Cache: Simple Technique for Reducing Cache Access Power. In: DATE 2004, February 2004, pp. 16–21 (2004)

    Google Scholar 

  9. Ohsawa, T., Kai, K., Murakami, K.: Optimizing the DRAM Refresh Count for Merged DRAM/Logic LSIs. In: ISLPED 1998, August 1998, pp. 82–87 (1998)

    Google Scholar 

  10. Idei, Y., et al.: Dual-Period Self-Refresh Scheme for Low-Power DRAMs with On-Chip PROM Mode Register. IEEE Journal on Solid-State Circuits 33(2), 253–259 (1998)

    Article  Google Scholar 

  11. Kim, J., Papaefthymiou, M.C.: Block-Based Multiperiod Dynamic Memory Design for Low Data-Retention Power. IEEE Transactions on VLSI Systems 11(6), 1006–1018 (2003)

    Article  Google Scholar 

  12. SimpleScalar home page, http://www.simplescalar.com/

  13. Lee, C., Potkonjak, M., Mangione-Smith, W.: MediaBench: A Tool for Evaluating and Synthesizing Multimedia and Communications Systems. In: International Symposium on Microarchitecture, December 1997, pp. 330–335 (1997)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2005 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Patel, K., Benini, L., Macii, E., Poncino, M. (2005). Energy-Efficient Value-Based Selective Refresh for Embedded DRAMs. In: Paliouras, V., Vounckx, J., Verkest, D. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation. PATMOS 2005. Lecture Notes in Computer Science, vol 3728. Springer, Berlin, Heidelberg. https://doi.org/10.1007/11556930_48

Download citation

  • DOI: https://doi.org/10.1007/11556930_48

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-29013-1

  • Online ISBN: 978-3-540-32080-7

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics