Skip to main content

A Reconfigurable Data Cache for Adaptive Processors

  • Conference paper
Reconfigurable Computing: Architectures and Applications (ARC 2006)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 3985))

Included in the following conference series:

Abstract

Adaptive processors can exploit the different characteristics exhibited by program phases better than a fixed hardware. However, they may significantly degrade performance and/or energy consumption. In this paper, we describe a reconfigurable cache memory, which is efficiently applied to the L1 data cache of an embedded general-purpose processor. A realistic hardware/software methodology of run-time tuning and reconfiguration of the cache is also proposed, which is based on a pattern-matching algorithm. It is used to identify the cache configuration and processor frequency when the programs data working-set changes. Considering a design scenario driven by the best product execution time×energy consumption, we show that power dissipation and energy consumption of a two-level cache hierarchy and the product time×energy can be reduced on average by 39%, 38% and 37% respectively, when compared with a non-adaptive embedded microarchitecture.

This work was supported by the MCyT-Spain under contract TIN 2004-03388, the Gobierno de Canarias, the Generalitat de Catalunya, and the HiPEAC Network.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Balasubramonian, R., Albonesi, D., Buyuktosunoglu, A., Dwarkadas, S.: A Dynamically Tunable Memory Hierarchy. IEEE Tran. Computers 52(10), 1243–1257 (2003)

    Article  Google Scholar 

  2. Benitez, D., Moure, J.C., Rexachs, D.I., Luque, E.: Performance and Power Evaluation of an Intelligently Adaptive Data Cache. In: Bader, D.A., Parashar, M., Sridhar, V., Prasanna, V.K. (eds.) HiPC 2005. LNCS, vol. 3769, pp. 363–375. Springer, Heidelberg (2005)

    Chapter  Google Scholar 

  3. Burger, D., Austin, T.M.: The SimpleScalar Toolset, Ver. 2.0. Computer Architecture News 25(3), 13–25 (1997)

    Article  Google Scholar 

  4. Dhodapkar, A.S., Smith, J.E.: Managing Multi-Configuration Hardware via Dynamic Working Set Analysis. In: Proc. 29th Intl. Symp. Computer Architecture, pp. 233–244. IEEE Computer Society, Los Alamitos (2002)

    Google Scholar 

  5. Epalza, M., Ienne, P., Mlynek, D.: Adding limited reconfigurability to superscalar processors. In: Proc. 13th Intl. Conf. on PACT, pp. 53–62 (2004)

    Google Scholar 

  6. Huang, M.C., Renau, J., Torrellas, J.: Positional Adaptation of Processors: Application to Energy Reduction. In: Proc. 30th Intl. Symp. Computer Architecture, pp. 157–168. IEEE Computer Society, Los Alamitos (2003)

    Google Scholar 

  7. Kim, C., Burger, D., Keckler, S.W.: An Adaptive, Non-Uniform Cache Structure for Wire-Delay Dominated On-Chip Caches. In: Proc. 10th Intl. Conf. Architectural Support for Program. Languages and Operating Syst., pp. 211–222. ACM Press, New York (2002)

    Google Scholar 

  8. Lee, C., Landgrebe, D.A.: Feature Extraction Based On Decision Boundaries. IEEE Tran. Pattern Analysis and Machine Intelligence 15(4), 388–400 (1993)

    Article  Google Scholar 

  9. Ranganathan, P., Adve, S., Jouppi, N.P.: Reconfigurable Caches and their Application to Media Processing. In: Proc. 27th Intl. Symp. Computer Architecture, pp. 214–224. ACM Press, New York (2000)

    Google Scholar 

  10. Semeraro, G., et al.: Energy-Efficient Processor Design Using Multiple Clock Domains with Dynamic Voltage and Frequency Scaling. In: Proc. 8th Symp. High Performance Computer Architecture, pp. 29–40. IEEE Computer Society, Los Alamitos (2002)

    Google Scholar 

  11. Sherwood, T., Perelman, E., Hamerly, G., Calder, B.: Automatically Characterizing Large Scale Programs. In: Proc. Intl. Conf. on ASPLOS, pp. 45–57. ACM Press, New York (2002)

    Google Scholar 

  12. Sherwood, T., Sair, S., Calder, B.: Phase Tracking and Prediction. In: Proc. 30th Intl. Symp. Computer Architecture, pp. 336–349. ACM Press, New York (2003)

    Google Scholar 

  13. Shivakumar, P., Jouppi, N.P.: CACTI 3.0: An Integrated Cache Timing, Power, and Area Model. Compact WRL Technical Report 2001/2 (2001)

    Google Scholar 

  14. Vassiliadis, S., Wong, S., Cotofana, S.: The MOLEN coded processor. In: Brebner, G., Woods, R. (eds.) FPL 2001. LNCS, vol. 2147, pp. 275–285. Springer, Heidelberg (2001)

    Chapter  Google Scholar 

  15. Zhang, C., Vahid, F., Najjar, W.: A Highly Configurable Cache Architecture for Embedded Systems. In: Proc. Int. Symp. Computer Architecture, pp. 136–146 (2003)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2006 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Benitez, D., Moure, J.C., Rexachs, D.I., Luque, E. (2006). A Reconfigurable Data Cache for Adaptive Processors. In: Bertels, K., Cardoso, J.M.P., Vassiliadis, S. (eds) Reconfigurable Computing: Architectures and Applications. ARC 2006. Lecture Notes in Computer Science, vol 3985. Springer, Berlin, Heidelberg. https://doi.org/10.1007/11802839_31

Download citation

  • DOI: https://doi.org/10.1007/11802839_31

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-36708-6

  • Online ISBN: 978-3-540-36863-2

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics