Skip to main content

Hybrid Routing for FPGAs by Integrating Boolean Satisfiability with Geometric Search

  • Conference paper
  • First Online:
Field-Programmable Logic and Applications: Reconfigurable Computing Is Going Mainstream (FPL 2002)

Part of the book series: Lecture Notes in Computer Science ((LNCS,volume 2438))

Included in the following conference series:

Abstract

Boolean Satisfiability (SAT)-based routing has unique advantages over conventional one-net-at-a-time approaches such as simultaneous net embedding or routability decision. Yet SAT-based routing has been criticized for scalability issues. On the other hand, geometric search routing algorithms, even with extensive rip-up-reroute capabilities, have difficulty achieving routing solution convergence when a problem has tight routing constraints. In this paper, we revisit the SAT-based routing idea for FPGA routing, and propose a new hybrid algorithm that integrates SAT-based FPGA routing with a conventional geometric search FPGA router. The advantages of such a combination are two- fold: 1) the scalability handicap of SAT-based routing is overcome due to the path pruning techniques of the geometric search algorithm, and 2) more concrete routability decisions can be made thus achieving the convergence, because the SAT-based technique considers simultaneously any paths in the history of iterative routings. The proposed algorithm named search-SAT is implemented and applied to real-world industry circuits. Preliminary experimental results show “search-SAT” is a more viable routing technique than any earlier SAT- based routing approach.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. V. Betz and J. Rose, “VPR: A New Packing, Placement and Routing Tool for FPGA Research,” the Seventh Annual Workshop on Field Programmable Logic and Applications, pp.213–222, 1997.

    Google Scholar 

  2. S. Brown, J. Rose, and Z. G. Vranesic, “A Detailed Router for Field Programmable Gate Arrays,” IEEE Transactions on CAD, pp. 620–628, vol. 11, no. 5, May 1992.

    Google Scholar 

  3. S. D. Brown, R.J. Francis, J. Rose, and Z.G. Vranesic, Field Programmable Gate Arrays, Boston, Kluwer Acad. Publishers, 1992.

    MATH  Google Scholar 

  4. M. R. Garey and D. S. Johnson, Computers and Intractability: A Guide to the Theory of NP-Completeness, W.H.Freeman and Company, 1979.

    Google Scholar 

  5. A. Hashimoto and J. Stevens, “Wire Routing by Optimizing Channel Assignment within Large Apertures”, Proceedings of 8th Design Automation Conference, pp. 155–169, 1971.

    Google Scholar 

  6. G. Lemieux and S. Brown, “A Detailed Router for Allocating Wire Segments in FPGAs,” Proc. ACM Physical Design Workshop, California, Apr. 1993.

    Google Scholar 

  7. J. P. Marques-Silva and K. A. Sakallah, “GRASP: A Search Algorithm for Propositional Sat-isfiability”, IEEE Transactions on Computers, vol. 48, no. 5, May 1999.

    Google Scholar 

  8. L. E. McMurchie and C. Ebeling, “PathFinder: A Negotiation-Based Path-Driven Router for FPGAs,” Proc. ACM/IEEE Intl. Symposium on Field Programmable Gate Arrays, Feb. 1995.

    Google Scholar 

  9. G.-J Nam, S. Kalman, J. Anderson, R. Jayaraman, S. Nag and J. Zhuang, “A Method and Apparatus for Testing Routability”, U.S. patent pending.

    Google Scholar 

  10. G.-J Nam, K. A. Sakallah, and R. A. Rutenbar, “Satisfiability-Based Layout Revisited: Detailed Routing of Complex FPGAs Via Search-Based Boolean SAT”, Intl. Sym. on FPGAs, Feb. 1999.

    Google Scholar 

  11. G.-J Nam, F. Aloul, K. A. Sakallah and R. A. Rutenbar, “A Comparative Study of Two Boolean Formulations of FPGA Detailed Routing Constraints”, Intl. Symp. on Physical Design, April 2001.

    Google Scholar 

  12. R. G. Wood and R. A. Rutenbar, “FPGA Routing and Routability Estimation Via Boolean Satisfiability,” IEEE Transactions on VLSI Systems, pp. 222–231, June 1998.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2002 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Nam, GJ., Sakallah, K., Rutenbar, R. (2002). Hybrid Routing for FPGAs by Integrating Boolean Satisfiability with Geometric Search. In: Glesner, M., Zipf, P., Renovell, M. (eds) Field-Programmable Logic and Applications: Reconfigurable Computing Is Going Mainstream. FPL 2002. Lecture Notes in Computer Science, vol 2438. Springer, Berlin, Heidelberg. https://doi.org/10.1007/3-540-46117-5_38

Download citation

  • DOI: https://doi.org/10.1007/3-540-46117-5_38

  • Published:

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-44108-3

  • Online ISBN: 978-3-540-46117-3

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics