Skip to main content

Nanocomputers

  • Reference work entry

Definition of theSubject

Nanocomputers are (not-yet‐realized) computers thatwill be based on technology employing devices and wires withfeature sizes in the order of a few nanometers(10−9 m). If theincrease in integration density of microelectronics according toMoore's law  [143] continues at the samepace as it has for almost 40 years, such computers will bearound in a few decades. Computational power and speed ofnanocomputers will likely dwarf those of most contemporarycomputers if trends from the past continue. It is anticipatedthat silicon‐based CMOS technology can be extended to upto the year 2015; beyond that, major scientific andtechnological breakthroughs will be required, according to theInternational Technology Roadmap for Semiconductors(ITRS) [94]. Many of thesebreakthroughs will take place on the physical level, via thedevelopment of new devices, but progress on an architectural andalgorithmic level will also be indispensable. Among the issuesthat need to be addressed in...

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   3,499.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD   549.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Abbreviations

Adiabatic switching:

Switching with asymptotically zero speed with the aim of reducing power consumption in a circuit.

Asynchronous circuit:

Circuit that is designed to work in the absence of a clock.

Babbage engine:

Mechanical calculator built by Charles Babbage in the early 19th century.

Bottom-up fabrication:

Fabrication method employing the natural ability of physical structures (including atoms and molecules) to organize themselves into desired structures.

Brownian motion:

Random movement of micrometer‐sized particles due to collisions with molecules. The term is also used to indicate random movement of smaller‐sized particles, or the mathematical model of such movements.

Carbon nanotube:

Nanometer‐scale tube consisting of a graphite sheet rolled up into a seamless cylinder. Carbon nanotubes intended for nanoelectronic applications are mainly single‐walled.

Cellular automaton:

Discrete regular array of cells, each of which is in one of a finite number of states. A cell is updated in discrete time steps according to a transition rule that takes the states of the cell and its direct neighbors and determines the next state of the cell. If all cells are updated at the same time, the model is called synchronous, otherwise asynchronous.

Complementary metal-oxide‐semiconductor (CMOS):

Currently dominant technology used to implement digital logic circuits as well as a wide variety of analog circuits such as image sensors and data convertors.

Computational universality:

Ability of a computing system to compute every function in a certain class of systems.

Computer architecture:

Functional and structural design and operational specification of a computer system.

Construction universality:

Ability of a logic system to construct every arbitrary logic structure in a certain class of systems.

Crossbar array:

Array consisting of two layers of wires, whereby wires within one layer are parallel to each other but perpendicular to the wires in the other layer. The wires are connected to each other at their crosspoints through devices.

Coulomb blockade:

Increased resistance at certain voltages to the flow of electrons in a tunnel‐junction, which is a thin insulating barrier between two conducting electrodes.

Defect‐tolerant:

Ability of a system to remain relatively unaffected by the occurrence of permanent defects.

Delay‐insensitive circuit:

Asynchronous circuit in which the outcomes of operations are unaffected by delays in wires and functional elements.

Device:

Functional element in a circuit that takes input signals and produces output signals. A transistor is a well-known example of a device.

Dielectric material:

Medium that is a poor conductor of electricity, but an effective supporter of electric fields. A dielectric with a high dielectric constant κ is the preferred material used as a gate‐dielectric in a transistor, since it allows for a thicker insulating layer between gate and channel given a certain gate capacitance. A low-κ dielectric is the preferred material used for insulating layers between wires, since it allows for smaller wire pitches.

Entropy:

Measure of uncertainty associated with a certain information‐theoretic or physical variable.

Error correcting code (ECC):

Encoding that adds redundancy to information to increase the ability to correct or detect errors caused by noise or other impairments.

Fault‐tolerant:

Ability of a system to remain relatively unaffected by the occurrence of errors.

Field‐effect transistor (FET):

Transistor in which the conductivity of the channel depends on the electric field controlled by the transistor's gate.

Fine‐grained parallelism:

Scheme for the subdivision of tasks in a large number of small subtasks that can be simultaneously executed by a large number of simple information processing elements.

Finite automaton:

Logical scheme consisting of a finite number of states, including a start state and an accept state, as well as a specification of state changes under the influence of inputs.

Field‐programmable gate array (FPGA):

Type of chip containing logic components and programmable wires that can be programmed to perform a wide variety of combinational logic functions.

Gate:

A logic gate is a digital device that carries out a Boolean bit‐operation, such as the AND, OR, NOT, etc. A transistor gate is the part of the transistor that controls the conductivity of the channel between the transistor's source and drain.

Hamiltonian:

Property corresponding to the total energy of a system's state that is determined by some sequence of physical operations. A Hamiltonian is mathematically expressed by a unitarian operator H.

Heisenberg uncertainty principle:

Relationship in quantum mechanics, giving a lower bound on the product of the uncertainties of two physical observables. These two observables may be position and momentum, or, alternatively, energy and time.

Lithography:

Microfabrication process in which a pattern is transferred to a photosensitive material to selectively remove parts of a thin substrate.

Majority gate:

A logic gate in which the value of the output bit is set to the logic value occurring in the majority of the input bits. A majority gate usually has an odd number of input bits.

Markov chain:

Discrete‐time stochastic process of which the next state solely depends on the present state and not directly on previous states. In other words, the process is memoryless.

Molecular electronics:

Electronics in which the components (wires and devices) are realized in terms of molecules. These molecules are usually organic.

Moore's law:

Trend along which integration density of microelectronics has developed since the 1960's, the time Gordon E. Moore first observed this trend. Integration density according to this trend increases exponentially, doubling approximately every two years.

MOSFETS:

FETs implemented by CMOS technology.

Neural network:

Mathematical model based on the biological nervous system, consisting of neurons that receive (usually) analog values from each other through weighted interconnections. Learning in a neural network takes place through updating the weights based on the values of the neurons and the values of the input signals.

Neuron:

Biological neuron is a cell in the nervous system that processes and transmits information. The central part of a neuron is its soma (cell body), and it has an extension called axon to transmit information to other neurons via axon terminals called synapses. This information is received by a neuron through its dendrites. A neuron in an artificial neural network is modeled after a biological neuron. It receives inputs via weighted interconnections, which model the strengths of synapses.

NP‐complete:

Class of decision problems for which no polynomial‐time (expressed in terms of the input size) algorithms are known. Any member of the wider class of NP (Non‐deterministic Polynomial time) problems can be transformed in an NP‐complete problem, in which the time overhead of the translation is at most a polynomial factor.

Parallel:

A computation is parallel if it is divided in smaller computations that can be executed simultaneously.

Perceptron:

A type of neural network model invented in 1957 by Frank Rosenblatt that is used for classification. Though originally this model consisted only of a layer of input neurons and a layer of output neurons, modern uses of the term includes the possible presence of one or more hidden layers of neurons. The perceptron is a feedforward neural network, which means that information flows in one direction, from the input to the output, so their are no backward connections between neurons.

Pitch:

Term used in integrated circuits to denote distance between elements, such as between cells in RAM memory or between the centers of two wires. Commonly used is the term half-pitch, indicating half this distance.

Processor:

The computing part of a computer also called Central Processing Unit (CPU).

Quantum dot cellular automaton:

Cellular automaton in which cells based on quantum dots containing electrons interact with each other through electrostatic forces.

Ratchet:

Device that allows a process (such as the movement of particles) to take place in only one direction.

Repeater:

Logic device placed on a wire to reproduce signals input to it. Usually implemented in terms of NOT-gates, repeaters speed up the propagation of signals along wires in highly integrated microelectronics.

Resonant clock:

Timing mechanism on synchronous chips that employs the resonance of oscillators to achieve sharply reduced power consumption and increased preciseness in timing.

Resonant tunneling device:

Device using quantum effects to allow very efficient transmission of electrons through a double barrier tunneling structure.

Reversible computation:

Computation of a function that is one-to-one.

Scanning tunneling microscope (STM):

Type of electron microscope to view surfaces at the atomic level with resolutions of up to 0.1 nm lateral and 0.01 nm in depth. The STM employs a tip from which electrons tunnel to the surface, whereby the tunnel current depends on the distance between the tip and the surface as an exponential function. The STM can also be used to manipulate individual atoms and molecules.

Single electron tunneling device:

Device based on the tunneling of individual electrons through one or more tunneling barriers, which are thin insulating layers between electrodes.

Spintronic device:

Device based on the magnetic spin states of electrons.

Superposition of states:

Linear combination of states in a quantum system describing a situation in which a physical observable possesses two or more values simultaneously.

Synapse:

Receptor on a neuron's axon that connects to a dendrite of a neuron to transmit information.

Top‐down fabrication:

Fabrication method in which structures are formed under the control of a master plan. Optical lithography is the usual top-down method for fabricating microelectronic chips.

Tunneling:

Quantum mechanical phenomenon in which a particle passes through an energy barrier that would, given the particle's kinetic energy, be too high for it to pass by classical physical laws.

Tunneling phase logic:

Logic that uses the phases of waves to conduct logic operations.

Turing machine:

Abstract logic model consisting of a tape, a reading and writing head, and a finite automaton to control the head. This simple machine model is used to study computation and the relations between computational models.

Very large scale integration (VLSI):

Microelectronics technology with millions of devices on a chip.

Voltage encoding:

Encoding of the value of a signal by the level of a voltage. This is the most commonly used method to encode signals in electronics. Opposite of charge encoding, in which the value of a signal is determined by the presence of a small number of elementary electrical charges.

von Neumann neighborhood:

Set of cells in a cellular automaton that neighbors orthogonally to a cell. The von Neumann neighborhood of a cell in a 2‑dimensional cellular automaton consists of the cell's northern, eastern, southern, and western neighboring cells. Often the cell itself is also included in the definition of neighborhood.

Bibliography

  1. AdachiS, Peper F, Lee J (2004) Computation by asynchronously updatingcellular automata. J Stat Phys 114(1/2):261–289

    MathSciNet  ADS  MATH  Google Scholar 

  2. AdamatzkyA (2002) New media for collision‐based computing. In:Collision‐Based Computing. Springer, London,pp 411–442

    Google Scholar 

  3. AdlemanLM (1994) Molecular computation of solutions to combinatorialproblems. Science266(11):1021–1024

    ADS  Google Scholar 

  4. AppenzellerJ, Joselevich E, Hönlein W (2003) Carbon nanotubes for dataprocessing. In: Nanoelectronics and InformationTechnology. Wiley, Berlin, pp 473–499

    Google Scholar 

  5. AthasWC, Svensson LJ, Koller JG, Tzartzanis N, Chou EYC (1994)Low-power digital systems based on adiabatic‐switchingprinciples. IEEE Trans Very Large Scale Integr Syst2(4):398–407

    Google Scholar 

  6. AviramA, Ratner MA (1974) Molecular rectifiers. Chem Phys Lett29(2):277–283

    ADS  Google Scholar 

  7. BaharRI, Hammerstrom D, Harlow J, Joyner WH Jr, Lau C, Marculescu D,Orailoglu A, Pedram M (2007) Architectures for siliconnanoelectronics and beyond. Computer40(1):25–33

    Google Scholar 

  8. BallP (2006) Champing at the bits. Nature440(7083):398–401

    ADS  Google Scholar 

  9. BanuM, Prodanov V (2007) Ultimate VLSI clocking using passive serialdistribution. In: Future Trends in Microelectronics: Up the NanoCreek. Wiley, Hoboken,pp 259–276

    Google Scholar 

  10. BashirullahR, Liu W (2002) Raised cosine approximation signalling techniquefor reduced simultaneous switching noise. Electron Lett38(21):1256–1258

    Google Scholar 

  11. BeckettP, Jennings A (2002) Towards nanocomputer architecture. In: LaiF, Morris J (eds) Proc. 7th Asia‐Pacific Computer SystemsArchitecture Conf. ACSAC'2002 (Conf. on Research and Practice inInformation Technology), vol 6. Australian Computer Society,Darlinghurst, Australia

    Google Scholar 

  12. BenioffP (1980) The computer as a physical system:A microscopic quantum mechanical Hamiltonian model ofcomputers as represented by Turing machines. J Stat Phys22(5):563–591

    MathSciNet  ADS  Google Scholar 

  13. BenioffP (1984) Comment on: Dissipation in computation. Phys Rev Lett53(12):1203

    ADS  Google Scholar 

  14. BenjaminSC, Johnson NF (1997) A possible nanometer‐scalecomputing device based on an adding cellular automaton. ApplPhys Lett 70(17):2321–2323

    ADS  Google Scholar 

  15. BenjaminSC, Johnson NF (1999) Cellular structures for computation in thequantum regime. Phys Rev A60(6):4334–4337

    ADS  Google Scholar 

  16. BennettCH (1973) Logical reversibility of computation. IBM J Res Dev17(6):525–532

    MATH  Google Scholar 

  17. BennettCH (1982) The thermodynamics of computation –a review. Int J Theor Phys21(12):905–940

    Google Scholar 

  18. BennettCH (1984) Thermodynamically reversible computation. Phys RevLett 53(12):1202

    ADS  Google Scholar 

  19. BennettCH (1988) Notes on the history of reversible computation. IBM JRes Dev 32(1):16–23

    Google Scholar 

  20. BiaforeM (1994) Cellular automata for nanometer‐scalecomputation. Physica D70:415–433

    ADS  MATH  Google Scholar 

  21. BirgeRR, Lawrence AF, Tallent JR (1991) Quantum effects, thermalstatistics and reliability of nanoscale molecular andsemiconductor devices. Nanotechnology2(2):73–87

    ADS  Google Scholar 

  22. BohrMT, Chau RS, Ghani T, Mistry K (2007) The high κsolution. IEEE Spectr44(10):23–29

    Google Scholar 

  23. BourianoffG (2003) The future of nanocomputing. Computer36(8):44–53

    Google Scholar 

  24. BrillouëtM (2007) Physical Limits of Silicon CMOS: Real Showstopper orWrong Problem? In: Future Trends in Microelectronics; Up theNano Creek. Wiley, Hoboken,pp 179–191

    Google Scholar 

  25. CarmonaJ, Cortadella J, Takada Y, Peper F (2006) From molecularinteractions to gates: a systematic approach. In:ICCAD '06: Proceedings of the 2006 IEEE/ACM internationalconference on Computer-aided design, San Jose, 5–9 Nov 2008

    Google Scholar 

  26. CarterFL (1983) The chemistry in future molecular computers. In:Computer Applications in Chemistry, Proc. 6th Int. Conf. onComputers in Chemical Research and Education. Elsevier,Amsterdam, pp 225–262

    Google Scholar 

  27. CarterFL (1983) Molecular level fabrication techniques and molecularelectronic devices. J Vac Sci Technolog B1(4):959–968

    ADS  Google Scholar 

  28. CarterFL (1984) The molecular device computer: point of departure forlarge scale cellular automata. Physica D 10(1–2):175–194

    Google Scholar 

  29. CavinRK, Zhirnov VV, Hutchby JA, Bourianoff GI (2005) Energybarriers, demons, and minimum energy operation of electronicdevices. In: Proc. SPIE, vol 5844, pp1–9

    Google Scholar 

  30. CeruzziP (1998) A history of modern computing. MIT Press,Cambridge

    Google Scholar 

  31. ChanSC, Shepard KL, Restle PJ (2005) Uniform‐phaseuniform‐amplitude resonant‐load global clockdistributions. IEEE J Solid-State Circuits40(1):102–109

    Google Scholar 

  32. ChenY, Jung GY, Ohlberg DAA, Li X, Steward DR, Jeppesen JO, NielsenKA, Stoddard JF, Williams RS (2003) Nanoscalemolecular‐switch crossbar circuits. Nanotechnology14(4):462–468

    ADS  Google Scholar 

  33. ChoiH, Mody C (2007) Molecular electronics in the longue durée: the microelectronicsorigins of nanotechnology. In: Joint Wharton‐ChemicalHeritage Foundation Symposium on the Social Studies ofNanotechnology, Philadelphia, 7–8 Jun 2007

    Google Scholar 

  34. ChouSY, Krauss PR, Renstrom PJ (1996) Imprint lithography with25‐nanometer resolution. Science272(5258):85–87

    ADS  Google Scholar 

  35. ChuaLO, Yang L (1988) Cellular neural networks: theory. Circuit SystIEEE Trans 35(10):1257–1272

    MathSciNet  MATH  Google Scholar 

  36. CollierCP, Wong EW, Belohradský M, Raymo FM, Stoddart JF, Kuekes PJ,Williams RS, Heath JR (1999) Electronically configurablemolecular‐based logic gates. Science285(5426):391–394

    Google Scholar 

  37. CollierCP, Mattersteig G, Wong EW, Luo Y, Beverly K, Sampaio J, RaymoFM, Stoddart JF, Heath JR (2000) A [2]Catenane‐based solidstate electronically reconfigurable switch. Science289(5482):1172–1175

    ADS  Google Scholar 

  38. ConstantinescuC (2007) Impact of intermittent faults on nanocomputingdevices. In: Workshop on Dependable and SecureNanocomputing. Edinburgh, 28 Jun 2007

    Google Scholar 

  39. CowburnRP, Welland ME (2000) Room temperature magnetic quantum cellularautomata. Science287(5457):1466–1468

    ADS  Google Scholar 

  40. CuiY, Lieber CM (2001) Functional nanoscale electronic devicesassembled using silicon nanowire building blocks. Science291(5505):851–853

    ADS  Google Scholar 

  41. CuiY, Lieber C, Lauhon L, Gudiksen M, Wang J (2001)Diameter‐controlled synthesis of single crystal siliconnanowires. Appl Phys Lett78(15):2214–2216

    ADS  Google Scholar 

  42. DasmahapatraS, Werner J, Zauner KP (2006) Noise as a computationalresource. Int J Unconv Comput2(4):305–319

    Google Scholar 

  43. DavariB (1999) CMOS technology: present and future. In: Proc. IEEESymp. on VLSI circuits. Digest of Technical Papers,pp 5–9

    Google Scholar 

  44. DavisA, Nowick SM (1997) An introduction to asynchronous circuitdesign. Tech Rep UUCS-97-013, Computer Science Department,University of Utah

    Google Scholar 

  45. DavisBA, Principe JC, Fortes JAB (2004) Design and performanceanalysis of a novel nanoscale associative memory. In:Proceedings of 4th IEEE Conference on Nanotechnology,pp 314–316

    Google Scholar 

  46. DebrayP, Raichev OE, Rahman M, Akis R, Mitchel WC (1999) Ballistictransport of electrons in T‑shaped quantumwaveguides. Appl Phys Lett74(5):768–770

    ADS  Google Scholar 

  47. DeHonA (2003) Array-based architecture for FET-based nanoscaleelectronics. IEEE Trans Nanotechnol2(1):23–32

    MathSciNet  ADS  Google Scholar 

  48. DeHonA (2004) Law of large numbers system design. In: Nano, quantumand molecular computing: implications to high level design andvalidation. Kluwer, Norwell,pp 213–241

    Google Scholar 

  49. DeHonA (2005) Nanowire‐based programmable architectures. ACM JEmerg Technol Comput Syst1(2):109–162

    MathSciNet  Google Scholar 

  50. DeHonA, Lincoln P, Savage JE (2003) Stochastic assembly ofsublithographic nanoscale interfaces. IEEE Trans Nanotechnol2(3):165–174

    ADS  Google Scholar 

  51. DennardRH, Gaensslen FH, Yu HN, Rideout VL, Bassous E, LeBlanc AR(1974) Design of ion‐implanted mosfets with very smallphysical dimensions. IEEE J Solid-State Circ9(5):256–268

    Google Scholar 

  52. DepledgePG (1981) Fault‐tolerant computer systems. IEE ProceedingsA 128(4):257–272

    Google Scholar 

  53. DiehlMR, Yaliraki SN, Beckman RA, Barahona M, Heath JR (2002)Self‐assembled deterministic carbon nanotube wiringnetworks. Angewandte Chem Int Ed41(2):353–356

    Google Scholar 

  54. DobrushinRL, Ortyukov SI (1977) Upper bound for the redundancy ofself‐correcting arrangements of unreliable functionalelements. Probl Inform Transm13(3):203–218

    Google Scholar 

  55. DrexlerKE (1986) Engines of creation. Anchor Books, New York

    Google Scholar 

  56. DrexlerKE (1992) Nanosystems: molecular machinery, manufacturing, andcomputation. Wiley, New York

    Google Scholar 

  57. DurbeckLJK, Macias NJ (2001) The cell matrix: an architecture fornanocomputing. Nanotechnology12(3):217–230

    ADS  Google Scholar 

  58. EiglerDM, Lutz CP, Crommie MF, Mahoran HC, Heinrich AJ, Gupta JA(2004) Information transport and computation innanometer‐scale structures. Phil Trans R Soc Lond A362(1819):1135–1147

    ADS  Google Scholar 

  59. FeynmanRP (1985) Quantum mechanical computers. Optics News11:11–20

    Google Scholar 

  60. FeynmanRP (1992) There's plenty of room at the bottom (reprint of 1959lecture). J Microelectromech Syst1(1):60–66

    Google Scholar 

  61. FeynmanRP, Leighton R, Sands M (2006) Ratchet and pawl. In: The FeynmanLectures on Physics, vol 1. Addison Wesley, San Francisco,pp 1–9

    Google Scholar 

  62. FountainTJ, Duff MJB, Crawley DG, Tomlinson CD, Moffat CD (1998) The useof nanoelectronic devices in highly parallel computingsystems. IEEE Trans VLSI Syst6(1):31–38

    Google Scholar 

  63. FrankMP (2005) Introduction to reversible computing: motivation,progress, and challenges. In: CF '05: Proceedings of the 2ndconference on Computing frontiers. ACM Press, New York,pp 385–390

    Google Scholar 

  64. FrazierG, Taddiken A, Seabaugh A, Randall J (1993) Nanoelectroniccircuits using resonant tunneling transistors and diodes. In:Digest of TechnicalPapers. IEEE International Solid-State Circuits Conference(ISSCC), San Francisco, 24–26 Feb 1993,pp 174–175

    Google Scholar 

  65. FredkinE, Toffoli T (1982) Conservative logic. Int J Theor Phys21:219–253

    MathSciNet  MATH  Google Scholar 

  66. FukśH (2002) Nondeterministic density classification with diffusiveprobabilistic cellular automata. Phys Rev E66(6):066106.

    Google Scholar 

  67. GácsP (1986) Reliable computation with cellularautomata. J Comput Syst Sci32(1):15–78

    Google Scholar 

  68. GácsP (1989) Self‐correcting two‐dimensional arrays. In:Micali S (ed) Randomness in Computation. Advances in ComputingResearch (a scientific annual), vol 5. JAI Press,Greenwich, pp 223–326

    Google Scholar 

  69. GácsP (1997) Reliable cellular automata withself‐organization. In: IEEE Symposium on Foundations ofComputer Science,pp 90–99

    Google Scholar 

  70. GácsP, Reif X (1988) A simple three‐dimensional real-timereliable cellular array. J Comput Syst Sci36(2):125–147

    Google Scholar 

  71. GaoC, Hammerstrom D (2007) Cortical models onto CMOL andCMOS – architectures and performance/price. IEEETrans Circ Syst I: Regul Pap54(11):2502–2515

    MathSciNet  Google Scholar 

  72. GilD, de Andrés D, Ruiz JC, Gil P (2007) Identifying faultmechanisms and models of emerging nanoelectronic devices. In:Workshop on Dependable and Secure Nanocomputing (DSN'07). Onlineproceedingswww.laas.fr/WDSN07/WDSN07_files/Texts/WDSN07-POST-01-Gil.pdf. Accessed 5 Aug 2008

  73. GimarcCE, Milutinovic VM (1987) A survey of RISC processors andcomputers of the mid-1980s. Computer20(9):59–69

    Google Scholar 

  74. GoldsteinSC (2005) The impact of the nanoscale on computing systems. In:IEEE/ACM International Conference on Computer‐Aided Design(ICCAD 2005). San Jose, CA, pp 655–661.Online Proceedings www.cs.cmu.edu/%7Eseth/papers/goldstein-iccad05.pdf. Accessed 5 Aug 2008

  75. GoldsteinSC, Budiu M (2001) Nanofabrics: Spatial computing usingmolecular electronics. In: Proceedings of the 28th annualinternational symposium on Computer architecture,pp 178–191

    Google Scholar 

  76. GrahamP, Gokhale M (2004) Nanocomputing in the presence of defects andfaults: a survey. In: Nano, Quantum and MolecularComputing. Kluwer, Boston,pp 39–72

    Google Scholar 

  77. GreenJE, Choi JW, Boukai A, Bunimovich Y, Johnston‐Halperin E,Delonno E, Luo Y, Sheriff BA, Xu K, Shin YS, Tseng HR, StoddartJF, Heath JR (2007) A 160‐kilobit molecularelectronic memory patterned at 1011bits per square centimeter. Nature445(7126):414–417

    Google Scholar 

  78. HanJ, Jonker P (2003) A defect- and fault‐tolerantarchitecture for nanocomputers. Nanotechnology14(2):224–230

    ADS  Google Scholar 

  79. HanJ, Gao J, Qi Y, Jonker P, Fortes JAB (2005) Towardhardware‐redundant, fault‐tolerant logic fornanoelectronics. IEEE Des & Test Comput22(4):328–339

    Google Scholar 

  80. HaraoM, Noguchi S (1975) Fault tolerant cellularautomata. J Comput Syst Sci11(2):171–185

    MathSciNet  MATH  Google Scholar 

  81. HartmanisJ (1995) On the weight of computations. Bull Eur Assoc TheorComput Sci 55:136–138

    MATH  Google Scholar 

  82. HauckS (1995) Asynchronous design methodologies: an overview. ProcIEEE 83(1):69–93

    MathSciNet  Google Scholar 

  83. HaykinS (1998) Neural Networks: A ComprehensiveFoundation. Prentice Hall PTR, Upper Saddle River,NJ

    Google Scholar 

  84. HeathJR, Kuekes PJ, Snider GS, Williams RS (1998)A defect‐tolerant computer architecture:Opportunities for nanotechnology. Science280(5370):1716–1721

    Google Scholar 

  85. HeinrichAJ, Lutz CP, Gupta JA, Eigler DM (2002) Moleculecascades. Science298(5597):1381–1387

    ADS  Google Scholar 

  86. HoR, Mai KW, Horowitz MA (2001) The future of wires. Proc IEEE89:490–504

    Google Scholar 

  87. HuangY, Duan X, Wei Q, Lieber C (2001) Directed assembly ofone‐dimensional nanostructures into functionalnetworks. Science291(5504):630–633

    ADS  Google Scholar 

  88. HusbandCP, Husband SM, Daniels JS, Tour JM (2003) Logic and memory withnanocell circuits. IEEE Trans Electron Dev50(9):1865–1875

    ADS  Google Scholar 

  89. HushNS (2003) An overview of the first half‐century ofmolecular electronics. Ann N Y Acad Sci1006:1–20

    ADS  Google Scholar 

  90. IsokawaT, Abo F, Peper F, Kamiura N, Matsui N (2003)Defect‐tolerant computing based on an asynchronouscellular automaton. In: Proceedings of SICE Annual Conference,Fukui, Japan,pp 1746–1749

    Google Scholar 

  91. IsokawaT, Abo F, Peper F, Adachi S, Lee J, Matsui N, Mashiko S (2004)Fault‐tolerant nanocomputers based on asynchronouscellular automata. Int J Mod Phys C15(6):893–915

    ADS  MATH  Google Scholar 

  92. IsokawaT, Kowada S, Peper F, Kamiura N, Matsui N (2006) Online markingof defective cells by random flies. In: Yacoubi SE, Chopard B,Bandini S (eds) Lecture Notes in Computer Science, vol 4173. Springer, Berlin,pp 347–356

    Google Scholar 

  93. IsokawaT, Kowada S, Takada Y, Peper F, Kamiura N, Matsui N (2007)Defect‐tolerance in cellular nanocomputers. New GenerComput 25(2):171–199

    MATH  Google Scholar 

  94. International Roadmap Commitee (2005)International Technology Roadmap forSemiconductors

    Google Scholar 

  95. International Roadmap Commitee (2005) International Technology Roadmap forSemiconductors, Emerging ResearchDevices. www.itrs.net/Links/2005ITRS/ERD2005.pdf. Accessed 5 Aug 2008

  96. International Roadmap Commitee (2005) International Technology Roadmap forSemiconductors, Interconnect. www.itrs.net/Links/2005ITRS/ERD2005.pdf. Accessed 5 Aug 2008

  97. IwaiH (2004) CMOS scaling for sub-90 nm tosub-10 nm. In: VLSID '04: Proceedings of the 17thInternational Conference on VLSI Design, IEEE Computer Society,Washington, DC, p 30

    Google Scholar 

  98. JablonskiDG (1990) A heat engine model of a reversiblecomputation. Proc IEEE78(5):817–825

    Google Scholar 

  99. JungGY, Johnston‐Halperin E, Wu W, Yu Z, Wang SY, Tong WM, LiZ, Green JE, Sheriff BA, Boukai A, Bunimovich Y, Heath JR,Williams RS (2006) Circuit fabrication at 17nm half-pitch bynanoimprint lithography. Nano Lett6(3):351–354

    Google Scholar 

  100. KaminsTI, Williams RS, Chen Y, Chang YL, Chang YA (2000) Chemicalvapor deposition of Si nanowires nucleated by TiSi2 islands onsi. Appl Phys Lett76(5):562–564

    ADS  Google Scholar 

  101. KiehlRA (2006) Information processing in nanoscale arrays: DNAassembly, molecular devices, nano-array architectures. In: ICCAD'06: Proceedings of the 2006 IEEE/ACM international conferenceon Computer‐aided design, San Jose, 5–9 Nov 2006

    Google Scholar 

  102. KishLB (2002) End of Moore's law: thermal (noise) death ofintegration in micro and nano electronics. Phys Lett A305(3–4):144–149

    Google Scholar 

  103. KishLB (2006) Thermal noise driven computing. Appl Phys Lett89(14):144104

    ADS  Google Scholar 

  104. KnapW, Deng Y, Rumyantsev S, Lu JQ, Shur MS, Saylor CA, Brunel LC(2002) Resonant detection of subterahertz radiation by plasmawaves in a submicron field‐effect transistor. ApplPhys Lett 80(18):3433–3435

    ADS  Google Scholar 

  105. KorkmazP, Akgul BES, Palem KV, Chakrapani LN (2006) Advocating noise asan agent for ultra-low energy computing: probabilistic complementarymetal-oxide‐semiconductor devices and theircharacteristics. Jpn J Appl Phys45(4B):3307–3316

    ADS  Google Scholar 

  106. KreupF, Graham AP, Liebau M, Duesberg GS, Seidel R, Unger E (2004)Carbon nanotubes for interconnect applications. In: ElectronDevices Meeting, 2004. IEDM Technical Digest. IEEEInternational,pp 683–686

    Google Scholar 

  107. KuekesPJ, Williams RS, Heath JR (2000) Demultiplexer fora molecular wire crossbar network. US Patent 6 128214

    Google Scholar 

  108. KuekesPJ, Robinett W, Seroussi G, Williams RS (2005)Defect‐tolerant interconnect to nanoelecronic circuits:internally redundant demultiplexers based onerror‐correcting codes. Nanotechnology16(6):869–881

    ADS  Google Scholar 

  109. KuekesPJ, Robinett W, Williams RS (2005) Improved voltage marginsusing linear error‐correcting codes inresistor‐logic demultiplexers fornanoelectronics. Nanotechnology16(9):1419–1432

    Google Scholar 

  110. KuekesPJ, Steward DR, Williams RS (2005) The crossbar latch: Logicvalue storage, restoration, and inversion in crossbarcircuits. J Appl Phys 97(3):034301

    ADS  Google Scholar 

  111. KuekesPJ, Robinett W, Roth RM, Seroussi G, Snider GS, Williams RS(2006) Resistor‐logic demultiplexers for nanoelectronicsbased on constant- weight codes. Nanotechnology17(4):1052–1061

    ADS  Google Scholar 

  112. LalaPK (2001) Self‐checking and fault‐tolerant digitaldesign. Morgan Kaufmann, San Francisco,CA

    Google Scholar 

  113. LandauerR (1961) Irreversibility and heat generation in the computingprocess. IBM J Res Dev5(3):183–191

    MathSciNet  MATH  Google Scholar 

  114. LandauerR (1984) Dissipation in computation. Phys Rev Lett53(12):1205

    ADS  Google Scholar 

  115. LandauerR (1992) Information is physical. In: PhysComp'92: Workshop onPhysics and Computation, Dallas,2–4 Oct 1992, pp 1–4

    Google Scholar 

  116. LeJ, Pinto Y, Seeman NC, Musier‐Forsyth K, Taton TA, KiehlRA (2004) DNA‐templated self‐assembly of metallicnanocomponent arrays on a surface. Nano Lett4(12):2343–2347

    Google Scholar 

  117. LeeJ, Adachi S, Peper F, Morita K (2003) Embedding universaldelay‐insensitive circuits in asynchronous cellularspaces. Fundamenta Informaticae58(3/4):295–320

    MathSciNet  MATH  Google Scholar 

  118. LeeJ, Peper F, Adachi S, Mashiko S (2004) On reversible computationin asynchronous systems. In: Quantum Information andComplexity. World Scientific, Singapore,pp 296–320

    Google Scholar 

  119. LeeJ, Adachi S, Peper F, Mashiko S (2005) Delay‐insensitivecomputation in asynchronous cellular automata. J Comput Syst Sci70:201–220

    MathSciNet  MATH  Google Scholar 

  120. LeeJ, Peper F, Adachi S (2006) Reversible logic elements operatingin asynchronous mode. US Patent 6 987402

    Google Scholar 

  121. LentCS, Tougaw PD, Porod W, Bernstein GH (1993) Quantum cellularautomata. Nanotechnology4(1):49–57

    ADS  Google Scholar 

  122. LiC, Fan W, Lei B, Zhang D, Han S, Tang T, Liu X, Liu Z, Asano S,Meyyappan M, Han J, Zhou C (2004) Multilevel memory based onmolecular devices. Appl Phys Lett84(11):1949–1951

    ADS  Google Scholar 

  123. LiebmannLW (2003) Layout impact of resolution enhancement techniques:impediment or opportunity? In: Proc. 2003 Int. Symp. on PhysicalDesign (ISPD'03), ACM Press,pp 110–117

    Google Scholar 

  124. LikharevKK, Semenov VK (1991) RSFQ logic/memory family: a newJosephson‐junction technology forsub‐terahertz‐clock‐frequency digitalsystems. IEEE Trans Appl Supercond1(1):3–28

    ADS  Google Scholar 

  125. LikharevKK, Strukov DB (2005) Introduction to Molecular Electronics. In:Cuniberti G et al (ed) CMOL: Devices, circuits, andarchitectures. Springer, Berlin, pp447–477

    Google Scholar 

  126. LloydS (1993) A potentially realizable quantum computer. Science261(5128):1569–1571

    ADS  Google Scholar 

  127. LloydS (2000) Ultimate physical limits to computation. Nature406(6799):1047–1054

    Google Scholar 

  128. MadouMJ (2002) Lithography. In: Fundamentals of Microfabrication, TheScience of Miniaturization. CRC Press, Florida,pp 1–76

    Google Scholar 

  129. MaezawaK, Förster A (2003) Quantum transport devices based on resonanttunneling. In: Nanoelectronics and Information Technology, pp407–424

    Google Scholar 

  130. ManoharR, Martin AJ (1995) Quasi-delay‐insensitive circuits areturing‐complete. Tech. Rep. CaltechCSTR:1995.cs-tr-95-11,California Institute of Technology, Pasadena,CA

    Google Scholar 

  131. MargolusNH (1984) Physics‐like models ofcomputation. Physica D10(1/2):81–95

    MathSciNet  ADS  Google Scholar 

  132. MargolusNH (1999) Crystalline computation. In: Feynman and computation:exploring the limits of computers. Perseus books, Cambridge, pp267–305

    Google Scholar 

  133. MartinAJ (1990) Programming in VLSI: From communicating processes todelay‐insensitive circuits. In: Hoare CAR (ed)Developments in Concurrency andCommunication. Addison‐Wesley, Reading,pp 1–64

    Google Scholar 

  134. MayorM, Weber HB, Waser R (2003) Molecular Electronics. In:Nanoelectronics and Information Technology. Wiley, Berlin,pp 501–525

    Google Scholar 

  135. MeadC (1990) Neuromorphic electronic systems. Proc IEEE78(10):1629–1636

    Google Scholar 

  136. MeadC, Conway L (1980) Introduction to VLSISystems. Addison‐Wesley,Boston

    Google Scholar 

  137. MeindlJD (1995) Low power microelectronics: retrospect andprospect. Proc IEEE83(4):619–635

    Google Scholar 

  138. MeindlJD, Chen Q, Davis JA (2001) Limits on silicon nanoelectronicsfor terascale integration. Science293(5537):2044–2049

    ADS  Google Scholar 

  139. MillerDAB (2000) Rationale and challenges for optical interconnects toelectronic chips. Proc IEEE88(6):728–749

    Google Scholar 

  140. MishraM, Goldstein SC (2003) Defect tolerance at the end of theroadmap. In: Proceedings of the IEEE International TestConference (ITC), vol 1, pp1201–1210

    Google Scholar 

  141. MizunoM, Anjo K, Surni Y, Wakabayashi H, Mogami T, Horiuchi T,Yamashina M (2000) On-chip multi-ghz clocking with transmissionlines. In: 2000 IEEE International Solid-State CircuitsConference (ISSCC). Digest of Technical Papers,pp 366–367

    Google Scholar 

  142. MontemerloMS, Love JC, Opiteck GJ, Goldhaber‐Gordon DJ, EllenbogenJC (1996) Technologies and designs for electronicnanocomputers. Tech. Rep. 96W0000044,MITRE

    Google Scholar 

  143. MooreGE (2003) No exponential is forever: but “forever”can be delayed! In: Solid-State Circuits Conference. Digest ofTechnical Papers. ISSCC. IEEE International Solid-State CircuitsConference (ISSCC), vol 1,pp 20–23

    Google Scholar 

  144. MoralesA, Lieber C (2001) A laser ablation method for thesynthesis of crystalline semiconductor nanowires. Science291(5348):208–211

    Google Scholar 

  145. MoritaK (2003) A simple universal logic element and cellularautomata for reversible computing. Lect Notes Comput Sci2055:102–113

    Google Scholar 

  146. MotwaniR, Raghavan P (1995) Randomized Algorithms. Cambridge UniversityPress, New York, NY

    MATH  Google Scholar 

  147. MullerDE, Bartky WS (1959) A theory of asynchronous circuits. In:Proceedings of an International Symposium on the Theory ofSwitching. Harvard University Press, pp 204–243

    Google Scholar 

  148. NikolićK, Forshaw M (2003) The current status of nanoelectronicdevices. Int J Nanosci2(1/2):7–29

    Google Scholar 

  149. NikolićK, Sadek A, Forshaw M (2002) Fault‐tolerant techniques fornanocomputers. Nanotechnology13(3):357–362

    Google Scholar 

  150. NishioH, Kobuchi Y (1975) Fault tolerant cellularspaces. J Comput Syst Sci11(2):150–170

    MathSciNet  MATH  Google Scholar 

  151. OKK, Kim K, Floyd B, Mehta J, Yoon H, Hung CM, Bravo D, DicksonT, Guo X, Li R, Trichy N, Caserta J, Bomstad W, Branch J, YangDJ, Bohorquez J, L Gao L, Sugavanam A, Lin JJ, Chen J,Martin F, Brewer J (2003) Wireless communications usingintegrated antennas. In: Proc. 2003 IEEE InternationalInterconnect Technology Conference, San Francisco, 2–4 June 2003,pp 111–113

    Google Scholar 

  152. O'MahonyF, Yue CP, Horowitz MA, Wong SS (2003) A 10-GHz globalclock distribution using coupled standing‐waveoscillators. IEEE J Solid-State Circ38(11):1813–1820

    Google Scholar 

  153. O'MahonyF, Yue CP, Horowitz M, Wong SS (2003) 10 GHz clockdistribution using coupled standing‐wave oscillators. In:Solid-State Circuits Conference. Digest of TechnicalPapers. IEEE International Solid-State Circuits Conference (ISSCC), vol 1,pp 428–504

    Google Scholar 

  154. OnoY, Fujiwara A, Nishiguchi K, Inokawa H, Takahashi Y (2005)Manipulation and detection of single electrons for futureinformation processing. J Appl Phys97:031101

    ADS  Google Scholar 

  155. PalemKV (2005) Energy aware computing through probabilisticswitching: a study of limits. IEEE Trans Comput54(9):1123–1137

    Google Scholar 

  156. ParvizBA, Ryan D, Whitesides GM (2003) Using self‐assembly forthe fabrication of nano-scale electronic and photonicdevices. IEEE Trans Adv Packag26(3):233–241

    Google Scholar 

  157. PeperF, Lee J, Adachi S, Mashiko S (2003) Laying out circuits onasynchronous cellular arrays: a step towards feasiblenanocomputers? Nanotechnology14(4):469–485

    ADS  Google Scholar 

  158. PeperF, Lee J, Abo F, Isokawa T, Adachi S, Matsui N, Mashiko S (2004)Fault‐tolerance in nanocomputers: a cellular arrayapproach. IEEE Trans Nanotechnol3(1):187–201

    ADS  Google Scholar 

  159. PettyM (2007) Molecular Electronics, from Principles toPractice. Wiley, West Sussex

    Google Scholar 

  160. PintoYY, Le JD, Seeman NC, Musier‐Forsyth K, Taton TA, Kiehl RA(2005) Sequence‐encoded self‐assembly ofmultiple‐nanocomponent arrays by 2D DNA scaffolding. NanoLett 5(12):2399–2402

    Google Scholar 

  161. PippengerN (1985) On networks of noisy gates. In: 26th Annual Symposiumon Foundations of Computer Science, 21–23 October 1985,Portland, Oregon, IEEE,pp 30–38

    Google Scholar 

  162. PippengerN (1989) Invariance of complexity measures for networks withunreliable gates. J ACM36(3):531–539

    MathSciNet  MATH  Google Scholar 

  163. PippengerN (1990) Developments in: “The synthesis of reliable organismsfrom unreliable components”. In: Proc. of Symposia in PureMathematics, vol 50. pp311–324

    Google Scholar 

  164. PorodW (1998) Quantum‐dot cellular automata devices andarchitectures. International journal of high-speed electronicsand systems 9(1):37–63

    Google Scholar 

  165. PorodW, Grondin RO, Ferry DK (1984) Dissipation in computation. PhysRev Lett 52(3):232–235

    ADS  Google Scholar 

  166. RahmanA, Reif R (2000) System‐level performance evaluation ofthree‐dimensional integrated circuits. IEEE Trans VeryLarge Scale Integr Syst8(6):671–678

    Google Scholar 

  167. Robert RW, Keyes W (1985) What makes a good computer device? Science 230(4722):138–144

    ADS  Google Scholar 

  168. Robinson AL (1984) Computing without dissipating energy. Science223(4641):1164–1166

    ADS  Google Scholar 

  169. Rothemund PW, Papadakis N, Winfree E (2004) Algorithmic self‐assemblyof DNA sierpinski triangles. PLoS Biol 2(12):2041–2053

    Google Scholar 

  170. Roychowdhury VP, Janes DB, Bandyopadhyay S, Wang X (1996) Collectivecomputational activity in self‐assembled arrays of quantum dots: a novel neuromorphic architecture for nanoelectronics. IEEE Trans Electron Dev43(10):1688–1699

    ADS  Google Scholar 

  171. Rueckes T, Kim K, Joselevich E, Tseng G, Cheung C, Lieber C (2000) Carbonnanotube based nonvolatile random access memory for molecular computing. Science 289(5476):94–97

    ADS  Google Scholar 

  172. Sadek AS, Nikolić K, Forshaw M (2004) Parallel information andcomputation with restitution for noise‐tolerant nanoscale logic networks. Nanotechnology 15(1):192–210

    Google Scholar 

  173. Sathe V, Chueh JY, Kim J, Ziesler CH, Kim S, Papaefthymiou M (2005) Fast,efficient, recovering, and irreversible. In: CF '05: Proceedings of the 2nd Conference on Computing Frontiers. ACM, New York,pp 407–413

    Google Scholar 

  174. Seitz CL (1980) System timing. In: Mead CA, Conway LA (eds) Introduction toVLSI Systems. Addison–Wesley, Boston

    Google Scholar 

  175. Sherman WB, Seeman NC (2004) A precisely controlled DNA biped walkingdevice. Nano Lett 4(7):1203–1207

    ADS  Google Scholar 

  176. Shor PW (2004) Progress in quantum algorithms. Quantum Inf Process3(1–5):5–13

    MathSciNet  MATH  Google Scholar 

  177. Smith PA, Nordquist CD, Jackson TN, Mayer TS, Martin BR, Mbindyo J, MalloukTE (2000) Electric‐field assisted assembly and alignment of metallic nanowires. Appl Phys Lett 77(9):1399–1401

    ADS  Google Scholar 

  178. Snepscheut JvD (1985) Trace theory and VLSI design. In: Lecture Notes inComputer Science, vol 200. Springer, Berlin

    Google Scholar 

  179. Snider GS, Kuekes PJ (2003)Molecular‐junction‐nanowire‐crossbar‐based associative array. US Patent 6 898 098

    Google Scholar 

  180. Snider GS, Robinett W (2005) Crossbar demultiplexers for nanoelectronicsbased on n-hot codes. IEEE Trans Nanotechnol 4(2):249–254

    ADS  Google Scholar 

  181. Snider GS, Williams RS (2007) Nano/CMOS architectures usinga field‐programmable nanowire interconnect. Nanotechnology 18(3):1–11

    Google Scholar 

  182. Snider GS, Kuekes PJ, Williams RS (2004) CMOS-like logic in defective,nanoscale crossbars. Nanotechnology 15(8):881–891

    ADS  Google Scholar 

  183. Snider GS, Kuekes PJ, Hogg T, Williams RS (2005) Nanoelectronicarchitectures. Appl Phys A 80(6):1183–1195

    Google Scholar 

  184. Soh C, Quate C, Morpurgo C, Marcus C, Kong C, Dai C (1999) Integratednanotube circuits: controlled growth and ohmic contacting of single‐walled carbon nanotubes. Appl Phys Lett75(5):627–629

    ADS  Google Scholar 

  185. Sotiriadis PP (2006) Information capacity of nanowire crossbar switchingnetworks. IEEE Trans Inf Theory 52(7):3019–3032

    MathSciNet  Google Scholar 

  186. Spagocci S, Fountain T (1999) Fault rates in nanochip devices. ProcElectrochem Soc 98-19:582–596

    Google Scholar 

  187. Spielman DA (1996) Highly fault‐tolerant parallel computation. In:Proceedings of the 37th IEEE Symposium on Foundations ofComputer Science (FOCS), Burlington, 14–16 Oct 1996, pp154–163

    Google Scholar 

  188. Srivastava N, Banerjee K (2004) Interconnect challenges for nanoscaleelectronic circuits. TMS J Mater (JOM) 56(10):30–31

    Google Scholar 

  189. Stan MR, Franzon PD, Goldstein SC, Lach JC, Ziegler MM (2003) Molecularelectronics: from devices and interconnect to circuits and architecture. Proc IEEE 91(11):1940–1957

    Google Scholar 

  190. Strukov DB, Likharev KK (2005) CMOL FPGA: a reconfigurable architecturefor hybrid digital circuits with two‐terminal nanodevices. Nanotechnology 16(6):888–900

    ADS  Google Scholar 

  191. Taubin A, Cortadella J, Lavagno L, Kondratyev A, Peeters A (2007) Designautomation of real life asynchronous devices and systems. Found Trends Electron Des Autom 2(1):1–133

    MATH  Google Scholar 

  192. Theis TN (2000) The future of interconnection technology. IBM J Res Dev44(3):379–390

    MathSciNet  Google Scholar 

  193. Toffoli T (1984) Comment on: Dissipation in computation. Phys Rev Lett53(12):1204

    ADS  Google Scholar 

  194. Tougaw PD, Lent CS (1994) Logical devices implemented using quantumcellular‐automata. J Appl Phys 75:1818–1825

    ADS  Google Scholar 

  195. Tour JM, Van Zandt L, Husband CP, Husband SM, Wilson LS, Franzon PD,Nackashi DP (2002) Nanocell logic gates for molecular computing. IEEE Trans Nanotechnol 1(2):100–109

    ADS  Google Scholar 

  196. Tour JM, Cheng L, Nackashi DP, Yao Y, Flatt AK, St Angelo SK, Mallouk TE,Franzon PD (2003) Nanocell electronic memories. J Am Chem Soc 125(43):13279–13283

    Google Scholar 

  197. Türel Ö, Lee JH, Ma X, Likharev K (2005) Architectures for nanoelectronicimplementation of artificial neural networks: new results. Neurocomputing 64:271–283

    Google Scholar 

  198. Uchida K (2003) Single‐electron devices for logic applications. In:Nanoelectronics and Information Technology. Wiley, Berlin, pp 425–443

    Google Scholar 

  199. Unger SH (1969) Asynchronous Sequential Switching Circuits. Wiley, NewYork

    Google Scholar 

  200. von Hippel AR (1956) Molecular engineering. Science123(3191):315–317

    ADS  Google Scholar 

  201. von Neumann J (1956) Probabilistic Logics and the Synthesis of ReliableOrganisms from Unreliable Components. In: Automata Studies. Princeton University Press, Princeton, pp 43–98

    Google Scholar 

  202. Waingold E, Taylor M, Srikrishna D, Sarkar V, Lee W, Lee V, Kim J, Frank M,Finch P, Barua R, Babb J, Amarasinghe S, Agarwal A (1997) Baring it all to software: Raw machines. Computer 30(9):86–93

    Google Scholar 

  203. Wang KL, Khitun A, Flood AH (2005) Interconnects for nanoelectronics. In:Proc. 2005IEEE International Interconnect Technology Conference, San Francisco,6–8 June 2005, pp 231–233

    Google Scholar 

  204. Wang W (1990) An asynchronous two‐dimensional self‐correctingcellular automaton. Ph D thesis, Boston University, Boston, MA02215, short version: In Proc. 32nd IEEE Symposium on the Foundationsof Computer Science, San Juan, 1–4 Oct 1990. IEEEPress, pp 188–192, 1991

    Google Scholar 

  205. Weeber JC, González MU, Baudrion AL, Dereux A (2005) Surface plasmon routingalong right angle bent metal strips. Appl Phys Lett 87(22):221101

    Google Scholar 

  206. Whitesides GM, Grzybowsky B (2002) Self‐assembly at allscales. Science 295(5564):2418–2421

    ADS  Google Scholar 

  207. Mac Williams FJ, Sloane NJA (1978) The Theory of Error‐CorrectingCodes. North‐Holland, Amsterdam

    Google Scholar 

  208. Williams RS, Kuekes PJ (2001) Demultiplexer for a molecular wirecrossbar network. US Patent 6 256 767

    Google Scholar 

  209. Winfree E, Liu F, Wenzler LA, Seeman NC (1998) Design andself‐assembly of two‐dimensional DNA crystals. Nature 394(6693):539–544

    ADS  Google Scholar 

  210. Wolf SA, Awschalom DD, Buhrman RA, Daughton JM, von Molnar S, Roukes ML,Chtchelkanova AY, Treger DM (2001) Spintronics: a spin-based electronics vision for the future. Science294(5546):1488–1495

    ADS  Google Scholar 

  211. Wong HSP, Frank DJ, Solomon PM, Wann CHJ, Wesler JJ (1999) NanoscaleCMOS. Proc IEEE 87(4):537–570

    Google Scholar 

  212. Wood J, Edwards TC, Lipa S (Nov 2001) Rotary traveling‐wave oscillatorarrays: a new clock technology. IEEE J Solid-State Circ 36(11):1654–1665

    Google Scholar 

  213. Worschech L, Beuscher F, Forchel A (1999) Quantized conductance in up to 20 µm long shallow etched GaAs/AlGaAs quantum wires. Appl Phys Lett 75(4):578–580

    ADS  Google Scholar 

  214. Wu W, Jung GY, Olynick DL, Straznicky J, Li Z, Li X, Ohlberg DAA, Chen Y,Wang SY, Liddle JA, Tong WM, Williams RS (2005) One‐kilobit cross-bar molecular memory circuits at 30-nm half-pitch fabricated by nanoimprintlithography. Appl Phys A 80(6):1173–1178

    Google Scholar 

  215. Yamada T, Akazawa M, Asai T, Amemiya Y (2001) Boltzmann machine neuralnetwork devices using single‐electron tunneling. Nanotechnology 12(1):60–67

    ADS  Google Scholar 

  216. Yanagida T, Ueda M, Murata T, Esaki S, Ishii Y (2007) Brownian motion,fluctuation and life. Biosystems 88(3):228–242

    Google Scholar 

  217. Yang T, Kiehl R, Chua L (2001) Tunneling phase logic cellular nonlinearnetworks. Int J Bifurc Chaos 11(12):2895–2911

    Google Scholar 

  218. Zhirnov VV, Cavin RK, Hutchby JA, Bourianoff GI (2003) Limits to binarylogic switch scaling – a gedanken model. Proc IEEE 91(11):1934–1939

    Google Scholar 

  219. Zhong Z, Wang D, Cui Y, Bockrath MW, Lieber CM (2003) Nanowire crossbararrays as address decoders for integrated nanosystems. Science 302(5649):1377–1379

    ADS  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2009 Springer-Verlag

About this entry

Cite this entry

Peper, F. (2009). Nanocomputers. In: Meyers, R. (eds) Encyclopedia of Complexity and Systems Science. Springer, New York, NY. https://doi.org/10.1007/978-0-387-30440-3_347

Download citation

Publish with us

Policies and ethics