Skip to main content

Digital Subthreshold for Ultra-Low Power Operation: Prospects and Challenges

  • Chapter
  • First Online:
Book cover Low-Power Variation-Tolerant Design in Nanometer Silicon

Abstract

This chapter provides a brief overview of the principles and challenges of ultra-low power circuit design in the subthreshold region of operation. Design principles at all levels of hierarchy, namely, devices, circuits, and architecture need to be evaluated for maximum power gains. Brief description of SRAM design techniques as well as alternative architectures for lower power has also been discussed.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 139.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Chandrakasan AP, Sheng S, Broderson RW (Apr 1992) Low-power CMOS digital design. IEEE J Solid-State Circuits 27:473–484

    Article  Google Scholar 

  2. Soeleman H, Roy K, Paul BC (2000) Robust ultra-low power subthreshold DTMOS logic. In: IEEE international symposium on low power electronics (ISLPED), pp 94–96

    Google Scholar 

  3. Soeleman H, Roy K, Paul BC (2001) Robust Subthreshold logic for ultra-low power operation. IEEE Trans VLSI Syst 9(1):90–99

    Article  Google Scholar 

  4. Wang A, Chandrakasan A (Jan 2005) A 180-mV subthreshold FET processor using a minimum energy design methodology. IEEE J Solid-State Circuit 40(1):310–319

    Article  Google Scholar 

  5. Raychowdhury A, Paul BC, Bhunia S, Roy K (Nov 2005) Device/circuit/architecture co-design for ultra-low power digital sub-threshold operation. IEEE trans VLSI Syst 13:1213–1224

    Article  Google Scholar 

  6. Cannillo F, Toumazou C (2005) Nano-power subthreshold current-mode logic in sub-100 nm technologies. Electron Lett 41(23):1268–1269

    Article  Google Scholar 

  7. Tajalli A, Brauer EJ, Leblebici Y, Vittoz E (Jul 2008) Subthreshold source-coupled logic circuits for ultra-low-power applications. IEEE J Solid-State Circuits (JSSC) 43(7):1699–1710

    Article  Google Scholar 

  8. Nyathi J, Bero B (2006) Logic circuits operating in subthreshold voltages. In: The Proceeding of ISLPED international symposium on low power electronic design, pp 131–134

    Google Scholar 

  9. Elgharbawy W, Bayoumi M (2004) New bulk dynamic threshold NMOS schemes for low-energy subthreshold domino-like circuits. In: Proceeding of ISVLSI, pp 115–120

    Google Scholar 

  10. Thomas O, Amara A, Vladimirescu A (2003) Stability analysis of a 400 mV 4-transistor CMOS-SOI SRAM cell operated in subthreshold. In: Proceeding the Conference on Electron Device and Solid-State Circuits, pp 247–250

    Google Scholar 

  11. Chen J, Clark LT, Chen TH (Oct 2006) An ultra-low-power memory with a subthreshold power supply voltage..IEEE J Solid-State Circuits 41(10):2344–2353,

    Article  Google Scholar 

  12. Hanson S, Seok M, Sylvester D, Blaauw D (Jan. 2008) Nanometer device scaling in subthreshold logic and SRAM. IEEE Trans Electron Devices 55(1):175–185

    Article  Google Scholar 

  13. Calhoun BH, Wang A, Chandrakasan A (Sep. 2005) Modeling and sizing for minimum energy operation in subthreshold circuits. IEEE J Solid-State Circuits (JSSC) 40(9):1778–1786

    Article  Google Scholar 

  14. Keane J, Eom H, Kim TH, Sapatnekar S, Kim C (May 2008) Stack sizing for optimal drivability in subthreshold circuits. IEEE Trans VLSI Syst 16(5):598–602

    Article  Google Scholar 

  15. Melek LAP, Schneider MC, Galup-Montoro C (2004) Body-bias compensation technique for subthreshold CMOS static logic gates. In: The Proceeding of symposium On Integrated Circuits and System Design (SBCCI), pp 267–272

    Google Scholar 

  16. Chen J, Clark LT, Cao Y (2005) Robust design of high fan-in/out subthreshold circuits. In : Proceeding of international conference on Computer Design (ICCD), pp 405–410

    Google Scholar 

  17. Paul BC, Raychowdhury A, Roy K (Feb 2005) Device optimization for digital sub-threshold logic operation. IEEE Trans Electron Devices 52:237–247

    Article  Google Scholar 

  18. Chakraborty S, Mallik A, Sarkar CK, Rao VR (Feb 2007) Impact of halo doping on the subthreshold performance of deep-submicrometer CMOS Devices and circuits for ultralow power analog/mixed-signal applications. IEEE Trans Electron Devices 54(2):241–248

    Article  Google Scholar 

  19. Paul BC, Roy K (Feb 2008) Oxide thickness optimization for ultra-low power digital sub-threshold operation. IEEE Trans Electron Devices 55(2):685–688,

    Article  Google Scholar 

  20. Jayakumar N, Gamache B, Garg R, Khatri SP (2006) A PLA based asynchronous micropipelining approach for subthreshold circuit design. In: Proceeding of Design Automation Conference (DAC), pp 419–424

    Google Scholar 

  21. Chang IJ, Park SP, Roy K (Feb 2010) Exploring asynchronous design techniques for process-tolerant and energy-efficient subthreshold operation. IEEE J Solid-State Circuits (JSSC) 45(2): 401–410

    Article  Google Scholar 

  22. Jorgenson RD et al (Feb 2010) Ultralow-power operation in subthreshold regimes applying clockless logic. Proc IEEE 98(2):299–314

    Article  Google Scholar 

  23. Zhai B et al (Aug 2009) Energy-efficient subthreshold processor design. IEEE Trans VLSI Syst 17(8):1127–1137

    Article  Google Scholar 

  24. Taur Y, Ning TH (1998) Fundamentals of modern VLSI devices. Cambridge University Press, New York, NY

    Google Scholar 

  25. Zhou X, Lim KY, Lim D (Jan 2000) A general approach to compact threshold voltage formulation based on 2D numerical simulation and experimental correlation for deep-submicron ULSI technology development [CMOS]. IEEE Trans Electron Devices 47(1):214–221

    Article  Google Scholar 

  26. Kim CH-I, Soeleman H, Roy K (Dec 2003) Ultra-low-power DLMS adaptive filter for hearing aid applications. IEEE Trans VLSI Syst 11(6):1058–1067

    Article  Google Scholar 

  27. Assaderaghi F et al (Mar 1997) Dynamic threshold-voltage MOSFET (DTMOS) for ultra-low voltage VLSI. IEEE Trans Electron Devices 44:414–422

    Article  Google Scholar 

  28. Seok M, Hanson S, Lin Y-S, Foo Z, Kim D, Lee Y, Liu N, Sylvester D, Blaauw D (2008) The phoenix processor: a 30 pW platform for sensor applications. In: Symposium on VLSI circuits. Digest technical papers, 18–20 June, 2008, pp 188–189

    Google Scholar 

  29. Kim T-H, Liu J, Keane J, Kim CH (May 2008) Circuit techniques for ultra-low power sub-threshold SRAMs. In: IEEE international symposium on Circuits and Systems, 2008, pp 2574–2577

    Google Scholar 

  30. Verma N, Chandrakasan AP (Jan 2008) A 256 kb 65 nm 8T Sub-threshold SRAM employing sense-amplifier redundancy. IEEE J Solid State Circuits 43(1):141–149

    Article  Google Scholar 

  31. Ye Y, Borkar S, De V (Jun 1998) A new technique for standby leakage reduction in high performance circuits. In: Proceeding of IEEE symposium on VLSI circuits, pp 40–41

    Google Scholar 

  32. Gupta SK, Raychowdhury A, Roy K (2010) Digital computation in sub-threshold region for ultra-low power operation: a device-circuit-system co-design perspective. In: Proceedings of IEEE

    Google Scholar 

  33. Kulkarni JP, Kim K,Roy K (Oct 2007) A 160 mV robust schmitt trigger based subthreshold SRAM. IEEE J Solid-State Circuits 42(10):2303–2313

    Article  Google Scholar 

  34. Kulkarni JP, Kim K, Park SP, Roy K (Jun 2008) Process variation tolerant SRAM for ultra-low voltage applications. In: Proceeding of design automation conference, pp 108–113

    Google Scholar 

  35. Chang IJ, Kim J-J, Park SP, Roy K (2008) A 32 kb 10T sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS. In: IEEE International soli state circuits conference, pp 387–389

    Google Scholar 

  36. Roy K, Kulkarni JP, Hwang M-E (Jan 2008) Process tolerant ultralow voltage digital sub-threshold design. In: IEEE Topical Meeting Silicon Monolithic Integrated Circuits in RF Systems, pp 42–45

    Google Scholar 

Download references

Acknowledgments

The authors would like to acknowledge the contributions and helpful discussions with Prof Kaushik Roy, Mr. Sumeet Gupta, Mr. M. Hwang, and other members of the Nanoelectronics Research Lab in Purdue University.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Bipul C. Paul .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Paul, B.C., Raychowdhury, A. (2011). Digital Subthreshold for Ultra-Low Power Operation: Prospects and Challenges. In: Bhunia, S., Mukhopadhyay, S. (eds) Low-Power Variation-Tolerant Design in Nanometer Silicon. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-7418-1_6

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-7418-1_6

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-7417-4

  • Online ISBN: 978-1-4419-7418-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics