Skip to main content

Design of Application-Specific 3D Networks-on-Chip Architectures

  • Chapter
  • First Online:

Part of the book series: Integrated Circuits and Systems ((ICIR))

Abstract

The increasing viability of three dimensional (3D) silicon integration technology has opened new opportunities for chip design innovations, including the prospect of extending emerging Systems-on-Chip (SoC) design paradigms based on Networks-on-Chip (NoC) interconnection architectures to 3D chip designs. In this chapter, we consider the problem of designing application-specific 3D-NoC architectures that are optimized for a given application. Both unicast and multicast traffic flows are supported. We present novel 3D-NoC synthesis algorithms that make use of accurate power and delay models for 3D wiring with through-silicon vias. In particular, we present a very efficient 3D-NoC synthesis algorithm called Ripup-Reroute-and-Router-Merging (RRRM), that is based on a rip-up and reroute formulation for routing flows and a router merging procedure for network optimization. Experimental results on 3D-NoC design cases show that our synthesis results can on average achieve significant improvements over regular mesh-based 3D implementations, both in terms of power consumption as well as hop counts.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Notes

  1. 1.

    Since inserting TSV adds delay, we tighten the delay constraints by some extent to get valid solutions.

  2. 2.

    In the experiments, we’ve tried several flow ordering strategies such as largest flow first, smallest flow first, random ordering et al., and we found the ordering of smallest flow first gave the best results. Thus we used this ordering in our experiments. Also, we observed that repeating the whole RIPUP-REROUTE procedure twice is enough to generate good results.

  3. 3.

    This extended channel dependency graph construction treats unicast flows as a special case.

References

  1. W. J. Dally, B. Towles, “Route packet, not wires: On-chip interconnection networks,” DAC, 2001.

    Google Scholar 

  2. L. Benini, G. De Micheli, “Networks on chips: A new SoC paradigm,” IEEE Computer, vol. 35, no. 1, pp. 70–78, Jan. 2002.

    Article  Google Scholar 

  3. M. B. Taylor et al., “The RAW microprocessor: A computational fabric for software circuits and general-purpose programs,” IEEE Micro, vol. 22, no. 6, pp. 25–35, Mar./Apr. 2002.

    Article  Google Scholar 

  4. K. Sankaralingam et al., “Exploiting ILP, TLP, and DLP with the polymorphous TRIPS architecture,” ISCA, 2003.

    Google Scholar 

  5. J. Hu, R. Marculescu, “Energy-aware mapping for tile-based NoC architectures under performance constraints,” ASP-DAC, 2003.

    Google Scholar 

  6. S. Murali, G. De Micheli, “Bandwidth constrained mapping of cores onto NoC architectures,” DATE, 2004.

    Google Scholar 

  7. K. Srinivasan, K. S. Chatha, G. Konjevod, “Linear-programming-based techniques for synthesis of network-on-chip architectures,” IEEE Transactions on VLSI Systems, vol. 14, no. 4, pp. 407–420, Apr. 2006.

    Article  Google Scholar 

  8. S. Murali et al., “Designing application-specific networks on chips with floorplan information,” ICCAD, 2006.

    Google Scholar 

  9. S. Yan, B. Lin, “Application-specific network-on-chip architecture synthesis based on set partitions and Steiner trees,” ASPDAC, 2008.

    Google Scholar 

  10. S. Yan, B. Lin, “Custom networks-on-chip architectures with multicast routing,” IEEE Transactions on VLSI Systems, accepted for publication, 2008.

    Google Scholar 

  11. K. Lee et al., “Three-dimensional shared memory fabricated using wafer stacking technology,” IEDM Technical Digest, Dec. 2000.

    Google Scholar 

  12. L. Xue et al., “Three dimensional integration: Technology, use, and issues for mixed-signal applications,” IEEE Transactions on Electron Devices, vol. 50, pp. 601–609, May 2003.

    Article  Google Scholar 

  13. W. R. Davis et al., “Demystifying 3D ICs: The pros and cons of going vertical,” IEEE Design & Test of Computers, vol. 22, no. 6, pp. 498–510, 2005.

    Article  Google Scholar 

  14. M. Kawano et al., “A 3D packaging technology for 4Gbit stacked DRAM with 3Gbps data transfer,” IEEE International Electron Devices, pp. 1–4, 2006.

    Google Scholar 

  15. J. Kim et al., “A novel dimensionally-decomposed router for on-chip communication in 3D architectures,” ISCA, 2007.

    Google Scholar 

  16. V. F. Pavlidis, E. G. Friedman, “3-D topologies for networks-on-chip,” IEEE Transactions on VLSI Systems, vol. 15, no. 10, pp. 1081–1090, Oct. 2007.

    Article  Google Scholar 

  17. H. Matsutani, M. Koibuchi, H. Amano, “Tightly-coupled multi-layer topologies for 3-D NoCs,” ICPP, 2007.

    Google Scholar 

  18. T. Kgil et al., “PICOSERVER: Using 3D stacking technology to enable a compact energy efficient chip multiprocessor,” ASPLOS-XII, 2006.

    Google Scholar 

  19. F. Li et al., “Design and management of 3D chip multiprocessors using network-in-memory,” ISCA, 2006.

    Google Scholar 

  20. P. Morrow et al., “Design and fabrication of 3D microprocessor,” Material Research Society Symposium, 2007.

    Google Scholar 

  21. W. A. Dees, Jr., P. G. Karger “Automated rip-up and reroute techniques,” DAC, 1982.

    Google Scholar 

  22. H. Shin, A. Sangiovanni-Vincentelli, “A detailed router based on incremental routing modifications: Mighty,” IEEE Transactions on CAD of Integrated Circuits and Systems, vol. CAD-6, no. 6, pp. 942–955, Nov. 1987.

    Article  Google Scholar 

  23. H. Shirota, S. Shibatani, M. Terai, “A new rip-up and reroute algorithm for very large scale gate arrays,” ICICC, May 1996.

    Google Scholar 

  24. J. Cong, J. Wei, Y. Zhang, “Thermal-driven floorplanning algorithm for 3D ICs,” ICCAD, 2004.

    Google Scholar 

  25. J. Cong, Y. Zhang, “Thermal-driven multilevel routing for 3-D ICs,” ASPDAC, 2005.

    Google Scholar 

  26. B. Goplen, S. Sapatnekar, “Efficient thermal placement of standard cells in 3D ICs using a force directed approach,” ICCAD, 2003.

    Google Scholar 

  27. M. Pathak, S. K. Lim, “Thermal-aware Steiner routing for 3D stacked ICs,” ICCAD, 2007.

    Google Scholar 

  28. C. Addo-Quaye, “Thermal-aware mapping and placement for 3-D NoC designs,” IEEE International SOC Conference, 2005.

    Google Scholar 

  29. X. Lin, P. K. McKinley, L. M. Ni, “Deadlock-free multicast wormhole routing in 2-D mesh multicomputers,” IEEE Transactions on Parallel and Distributed Systems, vol. 5, no. 8, pp. 793–804, Aug. 1994.

    Article  Google Scholar 

  30. M. P. Malumbres, J. Duato, J. Torrellas, “An efficient implementation of tree-based multicast routing for distributed shared-memory,” IEEE Symposium on Parallel and Distributed Processing, 1996.

    Google Scholar 

  31. K. Goossens, J. Dielissen, A. Radulescu, “The Ethereal network on chip: Concepts, architectures, and implementations,” IEEE Design & Test of Computers, vol. 22, no. 5, pp. 414–421, 2005.

    Article  Google Scholar 

  32. M. Millberg et al., “Guaranteed bandwidth using looped containers in temporally disjoint networks within the Nostrum network on chip,” DATE, 2004.

    Google Scholar 

  33. Z. Lu, B. Yin, A. Jantsch, “Connection-oriented multicasting in wormhole-switched networks on chip,” Emerging VLSI Technologies and Architectures (ISVLSI), 2006.

    Google Scholar 

  34. F. A. Samman, T. Hollstein, M. Glesner, “Multicast parallel pipeline router architecture for network-on-chip,” DATE, 2008.

    Google Scholar 

  35. E. A. Carara, F. G. Moraes, “Deadlock-free multicast routing algorithm for wormhole-switched mesh networks-on-chip,” ISVLSI, 2008.

    Google Scholar 

  36. Y. J. Chu, T. H. Liu, “On the shortest arborescence of a directed graph,” Science Sinica, vol. 14, pp. 1396–1400, 1965.

    MathSciNet  MATH  Google Scholar 

  37. J. Edmonds, “Optimum branchings,” Research of the National Bureau of Standards, vol. 71B, pp. 233–240, 1967.

    Article  MathSciNet  Google Scholar 

  38. G. Chen, E. G. Friedman, “Low-power repeaters driving RC and RLC interconnects with delay and bandwidth constraints,” IEEE Transactions on VLSI Systems, vol. 14, no. 2, pp.161–172, Feb. 2006.

    Article  Google Scholar 

  39. L. Zhang et al., “Repeated on-chip interconnect analysis and evaluation of delay, power, and bandwidth metrics under different design goals,” ISQED, 2007.

    Google Scholar 

  40. The international Technology roadmap for semiconductors, 2007.

    Google Scholar 

  41. H. Wang et al., “Orion: A power-performance simulator for interconnection networks,” MICRO 35, Nov. 2002.

    Google Scholar 

  42. X. Chen, L.-S. Peh, “Leakage power modeling and optimization in interconnection networks,” ISPLED, 2003.

    Google Scholar 

  43. K. Srinivasan, K. S. Chatha, G. Konjevod, “Application specific network-on-chip design with guaranteed quality approximation algorithms,” ASPDAC 2007, Jan 2007.

    Google Scholar 

  44. E. Rijpkema et al., “Trade-offs in the design of a router with both guaranteed and best-effort services for networks on chip,” DATE, 2003.

    Google Scholar 

  45. N. Enright-Jerger, M. Lipasti, L.-S. Peh, “Circuit-switched coherence,” IEEE Computer Architecture Letters, vol. 6, no. 1, pp. 5–8, Mar. 2007.

    Article  Google Scholar 

  46. W. J. Dally, C. L. Seitz, “Deadlock-free message routing in multiprocessor interconnection networks,” IEEE Transactions on Computers, vol. C-36, no. 5, pp. 547–550, May 1987.

    Article  Google Scholar 

  47. D. Greenfield et al., “Implications of rent’s rule for NoC design and its fault-tolerance,” NOCS, May 2007.

    Google Scholar 

  48. D. Stroobandt, P. Verplaetse, J. van Campenhout, “Generating synthetic benchmark circuits for evaluating CAD tools,” IEEE Transactions on CAD of Integrated Circuits and Systems, vol. 19, no. 9, pp. 1011–1022, Sep. 2000.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Shan Yan .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Yan, S., Lin, B. (2011). Design of Application-Specific 3D Networks-on-Chip Architectures. In: Sheibanyrad, A., Pétrot, F., Jantsch, A. (eds) 3D Integration for NoC-based SoC Architectures. Integrated Circuits and Systems. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-7618-5_8

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-7618-5_8

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-7617-8

  • Online ISBN: 978-1-4419-7618-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics