Skip to main content

Test Scheduling of Interposer-Based 2.5-D ICs Using Enhanced Differential Evolution Algorithm

  • Conference paper
  • First Online:
Book cover Wireless and Satellite Systems (WiSATS 2019)

Abstract

Interposer-based 2.5-dimensional integrated circuits (2.5D ICs) are seen as an alternative choice and they are rising as a precursor toward 3D integration. However, as the number of dies embedded in the interposer increases, the efficient test of 2.5D ICs becomes more difficult. In the design of test wrapper and test scheduling, both the test-time and the hardware cost have to be take into account. This paper presents an innovative differential evolution algorithm with dynamic subpopulations and adaptive searching strategy for the optimization of 2.5D IC test scheduling and hardware cost control. The whole population are partitioned into subpopulations dynamically using affinity propagation based clustering algorithm. In the subpopulations, a new mutation scheme which is controlled automatically by fitness values and distances between individuals is also presented. Parallelism among subpopulations and the proposed adaptive mutation and rotation crossover strategy can increase the speed of evolution without losing population diversity. Test wrapper scan chain balance design and the test scheduling algorithm, which combine the DE variant algorithm show an excellent performance in optimization ability comparing with the integer linear programming formulation (ILP) and some other configurations. It can make a good balance between the hardware cost and the test-time cost.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Wang, R., Chakrabarty, K.: Testing of Interposer-Based 2.5D Integrated Circuits. Springer, Heidelberg (2017). https://doi.org/10.1007/978-3-319-54714-5

    Book  Google Scholar 

  2. Wang, R., Chakrabarty, K., Eklow, B.: Scan-based testing of post-bond silicon interposer interconnects in 2.5-D ICs. Comput. Aided Des. Integr. Circ. Syst. 33(9), 1410–1423 (2014)

    Article  Google Scholar 

  3. Wang, R., Chakrabarty, K.: Tackling test challenges for interposer-based 2.5D integrated circuits. IEEE Des. Test PP(99), 1 (2017)

    Google Scholar 

  4. Huang, S.Y., Zheng, C.C.: Die-to-die clock skew characterization and tuning for 2.5D ICs. In: Asian Test Symposium, pp. 221–226. IEEE (2016)

    Google Scholar 

  5. Zou, W., Reddy, S.M., Pomeranz, I., et al.: SOC test scheduling using simulated annealing. In: IEEE VLSI Test Symposium, p. 325. IEEE Computer Society (2003)

    Google Scholar 

  6. Iyengar, V., Chakrabarty, K., Marinissen, E.J.: Test wrapper and test access mechanism co-optimization for system-on-chip. J. Electron. Test. 18(2), 213–230 (2002)

    Article  Google Scholar 

  7. Deng, L.B., Zhang, B.Q., Bian, X.L., et al.: Wrapper scan chains balance algorithm based on separation and recombination of integer-float portions. Chin. J. Scientific Instrum. 36(10), 2363–2371 (2015)

    Google Scholar 

  8. Chakrabarty, K.: Test scheduling for core-based systems using mixed-integer linear programming. IEEE Trans. CAD 19(10), 1163–1174 (2000)

    Article  Google Scholar 

  9. Wu, X., Chen, Y., Chakrabarty, K., et al.: Test-access mechanism optimization for core-based three-dimensional SOCs. In: IEEE International Conference on Computer Design, pp. 212–218. IEEE (2008)

    Google Scholar 

  10. Jiang, L., Huang, L., Xu, Q.: Test architecture design and optimization for three-dimensional SoCs. In: Design, Automation and Test in Europe Conference and Exhibition, pp. 220–225. IEEE (2009)

    Google Scholar 

  11. Noia, B., Chakrabarty, K., Marinissen, E.J.: Optimization methods for post-bond die-internal/external testing in 3D stacked ICs. In: Test Conference, pp. 1–9. IEEE Xplore (2010)

    Google Scholar 

  12. Noia, B., Goel, S.K., Chakrabarty, K., et al.: Test-architecture optimization for TSV-based 3D stacked ICs. In: Test Symposium, pp. 24–29. IEEE (2010)

    Google Scholar 

  13. Noia, B., Goel, S.K., et al.: Test-architecture optimization and test scheduling for TSV-based 3-D stacked ICs. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 30(11), 1705–1718 (2011)

    Article  Google Scholar 

  14. Lewis, D.L., Panth, S., Zhao, X., et al.: Designing 3D test wrappers for pre-bond and post-bond test of 3D embedded cores. In: IEEE International Conference on Computer Design, pp. 90–95. IEEE (2011)

    Google Scholar 

  15. Roy, S.K., Giri, C., Ghosh, S., et al.: Wrapper design of embedded cores for three dimensional system-on-chips (SoC) using available TSVs. In: IEEE International Midwest Symposium on Circuits and Systems, pp. 1–4. IEEE (2011)

    Google Scholar 

  16. Noia, B., Chakrabarty, K.: Test-wrapper optimisation for embedded cores in through-silicon via-based three-dimensional system on chips. IET Comput. Digital Tech. 5(3), 186–197 (2011)

    Article  Google Scholar 

  17. Wang, S., Wang, R., Chakrabarty, K., et al.: Multicast test architecture and test scheduling for interposer-based 2.5D ICs. In: Asian Test Symposium, pp. 86–91. IEEE (2016)

    Google Scholar 

  18. Lu, S.K., Li, H.M., Hashizume, M., et al.: Efficient test length reduction techniques for interposer-based 2.5D ICs. In: International Symposium on VLSI Design, Automation and Test, pp. 1–4. IEEE (2014)

    Google Scholar 

  19. Gupta, B.S., Ingelsson, U., Larsson, E.: Scheduling tests for 3D stacked chips under power constraints. In: Sixth IEEE International Symposium on Electronic Design, Test and Application, pp. 72–77. IEEE Computer Society (2011)

    Google Scholar 

  20. Vinay, N.S., Rawaty, I., Larssonz, E., et al.: Thermal aware test scheduling for stacked multi-chip-modules. In: Design and Test Symposium, pp. 343–349. IEEE (2010)

    Google Scholar 

  21. Millican, S.K., Saluja, K.K.: Linear programming formulations for thermal-aware test scheduling of 3D-stacked integrated circuits. In: Test Symposium, pp. 37–42. IEEE (2012)

    Google Scholar 

  22. Jiang, L., Xu, Q., Chakrabarty, K., et al.: Integrated test-architecture optimization and thermal-aware test scheduling for 3-D SoCs under pre-bond test-pin-count constraint. IEEE Trans. Very Large Scale Integr. Syst. 20(9), 1621–1633 (2012)

    Article  Google Scholar 

  23. Goel, S., Marinissen, E.J., Sehgal, A., et al.: Testing of SoCs with hierarchical cores: common fallacies, test access optimization, and test scheduling. IEEE Trans. Comput. 58(3), 409–423 (2009)

    Article  MathSciNet  Google Scholar 

  24. Storn, R., Price, K.V.: Differential evolution–a simple and efficient heuristic for global optimization over continuous spaces. J. Global Optim. 11(4), 341–359 (1997)

    Article  MathSciNet  Google Scholar 

  25. Storn, R., Price, K.V., Lampinen, J.: Differential Evolution–A Practical Approach to Global Optimization. Springer, Berlin (2005). https://doi.org/10.1007/3-540-31306-0

    Book  MATH  Google Scholar 

  26. Deng, L.B., Wang, S., Qiao, L.Y., et al.: DE-RCO: rotating crossover operator with multiangle searching strategy for adaptive differential evolution. IEEE Access PP(99), 1 (2017)

    Article  Google Scholar 

  27. Chi, C.C., Wu, C.W., Wang, M.J., et al.: 3D-IC interconnect test, diagnosis, and repair. In: VLSI Test Symposium, pp. 1–6. IEEE (2013)

    Google Scholar 

  28. Cadix, L.: Lifting the veil on silicon interposer pricing (2012). http://electroiq.com/blog/articles/2012/12/lifting-the-veil-on-silicon-interposer-pricing/

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Deng Libao .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 ICST Institute for Computer Sciences, Social Informatics and Telecommunications Engineering

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Libao, D., Ning, S., Ning, F. (2019). Test Scheduling of Interposer-Based 2.5-D ICs Using Enhanced Differential Evolution Algorithm. In: Jia, M., Guo, Q., Meng, W. (eds) Wireless and Satellite Systems. WiSATS 2019. Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering, vol 280. Springer, Cham. https://doi.org/10.1007/978-3-030-19153-5_55

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-19153-5_55

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-19152-8

  • Online ISBN: 978-3-030-19153-5

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics