Skip to main content

Performance Evaluation of Various RISC Processor Systems: A Case Study on ARM, MIPS and RISC-V

  • Conference paper
  • First Online:
Cloud Computing – CLOUD 2021 (CLOUD 2021)

Part of the book series: Lecture Notes in Computer Science ((LNISA,volume 12989))

Included in the following conference series:

Abstract

RISC-V is a new instruction set architecture (ISA) that has emerged in recent years. Compared with previous computer instruction architectures, RISC-V has outstanding features such as simple instructions, modular instruction set and supporting agile development. Due to these advantages, a large number of chips have been designed based on RISC-V ISA. However, compared with other ISAs, the efficiency or performance of RISC-V architecture is still not clear. In this paper, we investigate the performance difference of three mainstream RISC processor systems (i.e., ARM, MIPS and RISC-V). We use two open source benchmark tools-UnixBench and LMbench, to widely evaluate the processor performance, such as computing efficiency, read and write file delay, local communication bandwidth, etc. A total of 19 detailed performance tests on these three ISA systems are carried out. The testing results show: i) MIPS achieves the highest scores and shortest context switching delay whether it has a large number of file copying or pipeline communication; ii) RISC-V has high local communication bandwidth and strong scientific computing capabilities, but has highest communication and file access delays; iii) ARM’s local communication bandwidth is low, and the delay in all aspects is slightly higher than that of MIPS.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 44.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 59.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Seal, D.: ARM Architecture Reference Manual. Pearson Education, London (2001)

    Google Scholar 

  2. Hennessy, J., et al.: MIPS: a microprocessor architecture. ACM SIGMICRO Newslett. 13(4), 17–22 (1982)

    Article  Google Scholar 

  3. Asanović, K., Patterson, D.A.: Instruction sets should be free: the case for RISC-V. EECS Department, University of California, Berkeley, Technical Report. UCB/EECS-2014-146, 2014

    Google Scholar 

  4. Greengard, S.: Will RISC-V revolutionize computing? Commun. ACM 63(5), 30–32 (2020)

    Article  Google Scholar 

  5. Lee, Y., et al.: An agile approach to building RISC-V microprocessors. IEEE Micro 36(2), 8–20 (2016)

    Article  Google Scholar 

  6. Aroca, R.V., Gonçalves, L.M.G.: Towards green data centers: a comparison of \(\times \)86 and arm architectures power efficiency. J. Parallel Distrib. Comput. 72(12), 1770–1780 (2012)

    Article  Google Scholar 

  7. Wang, Y., Liao, Q., Zuo, S., Xie, R., Lin, X.: Performance evaluation of ARM-ISA SoC for high performance computing. Comput. Sci. 46(8), 95–99 (2019)

    Google Scholar 

  8. Ma, H., Wang, D.: The design of five-stage pipeline CPU based on MIPS. In: 2011 International Conference on Electrical and Control Engineering, pp. 433–435. IEEE (2011)

    Google Scholar 

  9. Asanovic, K., Waterman, A.: The RISC-V instruction set manual. In: Privileged Architecture, Document Version 20190608-Priv-MSU-Ratified, volume 2. RISC-V Foundation (2019)

    Google Scholar 

  10. Patsidis, K., Konstantinou, D., Nicopoulos, C., Dimitrakopoulos, G.: A low-cost synthesizable RISC-V dual-issue processor core leveraging the compressed instruction set extension. Microprocess. Microsyst. 61, 1–10 (2018)

    Article  Google Scholar 

  11. Jiang, Z.: A linux server operating system’s performance comparison using LMbench. In: 2016 International Conference on Network and Information Systems for Computers (ICNISC), pp. 160–164. IEEE (2016)

    Google Scholar 

  12. Duplyakin, D., Uta, A., Maricq, A., Ricci, R.: On studying CPU performance of CloudLab hardware. In: 27th IEEE International Conference on Network Protocols. ICNP 2019, Chicago, IL, USA, 8–10 October 2019, pp. 1–2. IEEE (2019)

    Google Scholar 

  13. Lucas, K.: UnixBench, 30 August 2016. https://github.com/kdlucas/byteunixbench

  14. McVoy, L.W., Staelin, C., et al.: LMbench: portable tools for performance analysis. In: USENIX Annual Technical Conference, pp. 279–294, San Diego (1996)

    Google Scholar 

  15. Staelin, C.: LMbench: an extensible micro-benchmark suite. Softw. Pract. Experience 35(11), 1079–1105 (2005)

    Article  Google Scholar 

  16. Bellard, F.: QEMU, a fast and portable dynamic translator. In: USENIX Annual Technical Conference, FREENIX Track, vol. 41, p. 46, California (2005)

    Google Scholar 

  17. No, J., Park, S.: Multi-layered I/O virtualization cache on KVM/QEMU. In: 2019 Eleventh International Conference on Ubiquitous and Future Networks (ICUFN), pp. 695–699. IEEE (2019)

    Google Scholar 

  18. Coppola, M., Falsafi, B., Goodacre, J., Kornaros, G.: From embedded multi-core SoCs to scale-out processors. In: 2013 Design, Automation Test in Europe Conference Exhibition (DATE), pp. 947–951 (2013)

    Google Scholar 

  19. Stanley-Marbell, P., Cabezas, V.C.: Performance, power, and thermal analysis of low-power processors for scale-out systems. In: 25th IEEE International Symposium on Parallel and Distributed Processing. IPDPS 2011, Anchorage, Alaska, USA, 16–20 May 2011 - Workshop Proceedings, pp. 863–870. IEEE (2011)

    Google Scholar 

  20. Abdurachmanov, D., Bockelman, B., Elmer, P., Eulisse, G., Knight, R., Muzaffar, S.: Heterogeneous high throughput scientific computing with APM X-Gene and intel Xeon Phi. CoRR abs/1410.3441 (2014)

    Google Scholar 

  21. Songhori, E.M., Zeitouni, S., Dessouky, G., Schneider, T., Sadeghi, A.-R., Koushanfar, F.: GarbledCPU: a MIPS processor for secure computation in hardware. In: Proceedings of the 53rd Annual Design Automation Conference. DAC 2016, Austin, TX, USA, 5–9 June 2016, pp. 73:1–73:6. ACM (2016)

    Google Scholar 

  22. Takamaeda-Yamazaki, S., Nakatsuka, H., Tanaka, Y., Kise, K.: Ultrasmall: a tiny soft processor architecture with multi-bit serial datapaths for FPGAs. IEICE Trans. Inf. Syst. 98-D(12), 2150–2158 (2015)

    Google Scholar 

  23. Luo, Q., Kong, C., Cai, Y., Liu, G.: Performance evaluation of OpenMP constructs and kernel benchmarks on a Loongson-3A Quad-Core SMP system. In: 2011 12th International Conference on Parallel and Distributed Computing, Applications and Technologies, pp. 191–196 (2011)

    Google Scholar 

  24. Chen, C., et al.: Xuantie-910: a commercial multi-core 12-stage pipeline out-of-order 64-bit high performance RISC-V processor with vector extension: industrial product. In: 47th ACM/IEEE Annual International Symposium on Computer Architecture. ISCA 2020, Valencia, Spain, 30 May–3 June 3 2020, pp. 52–64. IEEE (2020)

    Google Scholar 

Download references

Acknowledgment

This work is supported by Key-Area Research and Development Program of Guangdong Province (NO. 2020B010164003), National Natural Science Foundation of China (No. 62072451), Shenzhen Basic Research Program (No. JCYJ20200109115418592), Science and Technology Development Fund of Macao S.A.R (FDCT) under number 0015/2019/AKP, and Youth Innovation Promotion Association CAS (NO. 2019349).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Kejiang Ye .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2022 Springer Nature Switzerland AG

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Liu, Y., Ye, K., Xu, CZ. (2022). Performance Evaluation of Various RISC Processor Systems: A Case Study on ARM, MIPS and RISC-V. In: Ye, K., Zhang, LJ. (eds) Cloud Computing – CLOUD 2021. CLOUD 2021. Lecture Notes in Computer Science(), vol 12989. Springer, Cham. https://doi.org/10.1007/978-3-030-96326-2_5

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-96326-2_5

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-96325-5

  • Online ISBN: 978-3-030-96326-2

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics