Skip to main content

Synthesis of LUT Based Approximating Adder Circuits with Formal Error Guarantees

  • Conference paper
  • First Online:

Part of the book series: Communications in Computer and Information Science ((CCIS,volume 1687))

Abstract

Approximate computing relaxes accuracy, enhance efficiency, and benefit in terms of area. It is widely popular in emerging applications like mining, search, vision, recognition where inaccuracies are tolerable. This tolerance towards errors is exploited to design circuits. The most crucial stage is to strike the proper balance between error and output quality. A systematic framework is used for generating approximate circuits with a specific error guarantee. The key idea is to use the property checking technique based on SAT to compute the worst-case error. In this design method Look-up Table (LUT) is used to acquire approximation with worst-case error metric as a constraint. A novel technique is proposed to select nodes for insertion of LUTs is discussed. The method evolved around toggle count and observability of nodes in the circuit. The number of transistors used, and errors is examined for analysis. This analysis will help in evaluating the output of the adder circuit obtained through approximation. This method was implemented using Yosys and evaluated adder circuit. The aim of this paper is to adopt formal methods such as satiability solvers for analysis of approximate adder circuits. When the worst-case absolute error and area are taken into account for 64 bit, 32 bit and 16 bit our solution will provide a superior trade-off.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. Chippa, V.K., Chakradhar, S.T., Roy, K., Raghunathan, A.: Analysis and characterization of inherent application resilience for approximate computing. In: Proceedings of the 50th Annual Design Automation Conference, pp. 1–9 (2013)

    Google Scholar 

  2. Kulkarni, P., Gupta, P., Ercegovac, M.D.: Trading accuracy for power in a multiplier architecture. J. Low Power Electron. 7(4), 490–501 (2011)

    Article  Google Scholar 

  3. May, D., Stechele, W.:Voltage over-scaling in sequential circuits for approximate computing. In: 2016 International Conference on Design and Technology of Integrated Systems in Nanoscale Era (DTIS), pp. 1–6. IEEE (2016)

    Google Scholar 

  4. Venkataramani, S., Chakradhar, S.T., Roy, K., Raghunathan, A.: Computing approximately, and efficiently. In: 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 748–751. IEEE (2015)

    Google Scholar 

  5. Venkatesan, R., Agarwal, A., Roy, K., Raghunathan, A.: MACACO: modeling and analysis of circuits for approximate computing. In: IEEE/ACM International Conference on Computer-Aided Design (IC- CAD), San Jose, CA, pp. 667–673 (2011)

    Google Scholar 

  6. Nepal, K., Li, Y., Bahar, R.I., Reda, S.: ABACUS: a technique for automated behavioral synthesis of approximate computing circuits, InL Design, Automation and Test in Europe Conference & Exhibition (DATE), Dresden, pp. 1–6 (2014)

    Google Scholar 

  7. Ceška, M., Matyáš, J., Mrazek, V., Sekanina, L., Vasicek, Z., Vojnar, T.: ADAC: automated design of approximate circuits. In: CAV. LNCS, vol. 10981, pp. 612–620. Springer, Cham (2018)

    Google Scholar 

  8. Ranjan, A., Raha, A., Venkataramani, S., Roy, K., Raghunathan, A.: ASLAN: synthesis of approximate sequential circuits. In: Design, Automation Test in Europe Conference & Exhibition (DATE), Dresden, pp. 1–6 (2014)

    Google Scholar 

  9. Gupta, V., et al.: Low-power digital signal processing using approximate adders. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 32(1), 124–137 (2012)

    Google Scholar 

  10. Venkataramani, S., Sabne, A., Kozhikkottu, V., Roy, K., Raghunathan, A.: SALSA: systematic logic synthesis of approximate circuits. In: DAC Design Automation Conference, pp. 796–801 (2012)

    Google Scholar 

  11. Venkataramani, S., Roy, K., Raghunathan, A.: Substitute-and-simplify: a unified design paradigm for approximate and quality configurable circuits. In: 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1367–1372. IEEE (2013)

    Google Scholar 

  12. Češka, M., Matyáš, J., Mrazek, V., Sekanina, L., Vasicek, Z., Vojnar, T.: Approximating complex arithmetic circuits with formal error guarantees: 32-bit multipliers accomplished. In: 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 416–423. IEEE (2017)

    Google Scholar 

  13. Češka, M., Matyáš, J., Mrazek, V., Sekanina, L., Vasicek, Z., Vojnar, T.: ADAC: automated design of approximate circuits. In: International Conference on Computer Aided Verification, pp. 612–620. Springer, Cham (2018)

    Google Scholar 

  14. Jo, S., Matsumoto, T., Fujita, M.: SAT-based automatic rectification and debugging of combinational circuits with LUT insertions. IPSJ Trans. Syst. LSI Design Methodol. 7, 46–55 (2014)

    Google Scholar 

  15. Vinod, G. U., V. S. Vineesh, Jaynarayan T. Tudu, Masahiro Fujita, and Virendra Singh.: LUT-based Circuit Approximation with Targeted Error Guarantees. In 2020 IEEE 29th Asian Test Symposium (ATS), IEEE, pp. 1–6, (2020)

    Google Scholar 

  16. Lingamneni, A., Enz, C., Nagel, J.-L., Palem, K., Piguet, C.: Energy parsimonious circuit design through probabilistic pruning. In: 2011 Design, Automation & Test in Europe, IEEE, pp. 1–6 (2011)

    Google Scholar 

  17. Mirzaie, N., Seyyed Mahdavi, S.J., Mohammadi, K.: Evolving more testable digital combinational circuits. In: CDES, pp. 40–45 (2010)

    Google Scholar 

  18. Jiang, H., Han, J., Lombardi, F.: A comparative review and evaluation of approximate adders. In: Proceedings of the 25th Edition on Great Lakes Symposium on VLSI, pp. 343–348 (2015)

    Google Scholar 

Download references

Acknowledgment

This work is supported by Visvesvaraya Ph.D. Scheme, Meity, Govt. of India. MEITY-PHD-2950.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Pooja Choudhary .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2022 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Choudhary, P., Bhargava, L., Fujita, M., Singh, V. (2022). Synthesis of LUT Based Approximating Adder Circuits with Formal Error Guarantees. In: Shah, A.P., Dasgupta, S., Darji, A., Tudu, J. (eds) VLSI Design and Test. VDAT 2022. Communications in Computer and Information Science, vol 1687. Springer, Cham. https://doi.org/10.1007/978-3-031-21514-8_36

Download citation

  • DOI: https://doi.org/10.1007/978-3-031-21514-8_36

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-031-21513-1

  • Online ISBN: 978-3-031-21514-8

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics