Skip to main content

Merging Compilation and Microarchitectural Configuration Spaces for Performance/Power Optimization in VLIW-Based Systems

  • Conference paper
  • First Online:
Modern Trends and Techniques in Computer Science

Part of the book series: Advances in Intelligent Systems and Computing ((AISC,volume 285))

  • 915 Accesses

Abstract

The rediscovery of VLIW architecture in the field of embedded multimedia applications introduces new challenges for computing paradigms historically oriented towards Instruction Level Parallelisms and performance optimization. In this work we perform an extensive multi-objective analysis which includes VLIW compiler as part of the configuration space, avoiding any explicit distinction between micro-architectural parameters and compilation strategies. After performing an high-level estimation of power/performance trade-offs by compiling and simulating some common application kernels, we qualitatively and quantitatively analyze of how the design space available can be greatly affected by the interaction of compiler behavior, processor-related features and memory subsystem.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 169.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 219.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Fisher, J.A.: Very long instruction word architectures and the ELI512. In: 10th Annual International Symposium on Computer Architecture., pp. 140–150 (1983)

    Google Scholar 

  2. Fisher, J., Faraboschi, P., Young, C.: Vliw processors: once blue sky, now commonplace. Solid-State Circuits Mag IEEE 1, 10–17 (2009)

    Article  Google Scholar 

  3. Boppu, S., Hannig, F., Teich, J.: Loop program mapping and compact code generation for programmable hardware accelerators. In: 2013 IEEE 24th International Conference on Application-Specific Systems, Architectures and Processors (ASAP), pp. 10–17 (2013)

    Google Scholar 

  4. Puppala, V.: Vliw—simd processor based scalable architecure for parallel classifier node computing. In: IEEE 3rd International Advance Computing Conference (IACC), pp. 1496–1502 (2013)

    Google Scholar 

  5. Lapinskii, V., Jacome, M., Veciana, G.D.: Application-specific clustered VLIW datapaths: early exploration on a parameterized design space. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21, 889–903 (2002)

    Article  Google Scholar 

  6. Sabena, D., Reorda, M., Sterpone, L.: On the automatic generation of optimized software-based self-test programs for vliw processors (2013)

    Google Scholar 

  7. Najafi, M., Salehi, M.: Exploring the design space for area-efficient embedded vliw packet processing engine. In: 21st Iranian Conference on Electrical Engineering (ICEE), pp. 1–6 (2013)

    Google Scholar 

  8. Givargis, T., Vahid, F., Henkel, J.: System-level exploration for Pareto-optimal configurations in parameterized System-on-a-Chip. IEEE Trans. Very Large Scale Integr. Syst. 10, 416–422 (2002)

    Article  Google Scholar 

  9. Catania, V., Di Nuovo, A., Palesi, M., Patti, D., Morales, G.: An effective methodology to multi-objective design of application domain-specific embedded architectures. In: 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools (DSD ‘09), pp. 643–650 (2009)

    Google Scholar 

  10. Taniguchi, I., Uchida, M., Tomiyama, H., Fukui, M., Raghavan, P., Catthoor, F.: An energy aware design space exploration for vliw agu model with fine grained power gating. In: 14th Euromicro Conference on Digital System Design (DSD), pp. 693–700 (2011)

    Google Scholar 

  11. Pillai, A., Zhang, W., Yang, L.: Exploring functional unit design space of vliw processors for optimizing both performance and energy consumption. In: 21st International Conference on.Advanced Information Networking and Applications Workshops (AINAW ‘07), Vol. 1, pp. 792–797 (2007)

    Google Scholar 

  12. Benini, L., Bruni, D., Chinosi, M., Silvano, C., Zaccaria, V., Zafalon, R.: A framework for modeling and estimating the energy dissipation of VLIW-based embedded systems. Design Autom. Embedded Syst. 7, 183–203 (2002)

    Article  MATH  Google Scholar 

  13. Pokam, G., Bodin, F.: Understanding the energy-delay tradeoff of ILP-based compilation techniques on a VLIW architecture. In: 11th Workshop on Compilers for Parallel Computers, Chiemsee, Germany (2004)

    Google Scholar 

  14. Raghavan, P., Lambrechts, A., Absar, J., Jayapala, M., Catthoor, F., Verkest, D.: Coffee: compiler framework for energy-aware exploration. In: Stenstrm, P., Dubois, M., Katevenis, M., Gupta, R., Ungerer, T. (eds.) High Performance Embedded Architectures and Compilers. Lecture Notes in Computer Science, vol. 4917, pp. 193–208. Springer, Berlin (2008)

    Chapter  Google Scholar 

  15. Ascia, G., Catania, V., Di Nuovo, A.G., Palesi, M., Patti, D.: Performance evaluation of efficient multi-objective evolutionary algorithms for design space exploration of embedded computer systems. Appl. Soft Comput. 11, 382–398 (2011)

    Article  Google Scholar 

  16. Kathail, V., Schlansker, M.S., Rau, B.R.: HPL-PD architecture specification: Version 1.0. Technical report, Compiler and Architecture Research HP Laboratories Palo Alto HPL-93-80 (2000)

    Google Scholar 

  17. Cai, G., Lim, C.H.: Architectural level power/performance optimization and dynamic power estimation. In: Cool Chips Tutorial colocated with MICRO32, pp. 90–113 (1999)

    Google Scholar 

  18. Kamble, M.B., Ghose, K.: Analytical energy dissipation models for low power caches. In: IEEE International Symposium on Low Power Electronics and Design, pp. 143–148 (1997)

    Google Scholar 

  19. Shiue, W.T., Chakrabarti, C.: Memory exploration for low power, embedded systems. In: 36th ACM/IEEE Conference on Design Automation Conference, New Orleans, Louisiana, United States, pp. 140–145 (1999)

    Google Scholar 

  20. Henkel, J., Lekatsas, H.: \(a^{2} bc\): adaptive address bus coding for low power deep sub-micron designs. In: ACM/IEEE Design Automation Conference, Las Vegas, Nevada, USA, pp. 744–749 (2001)

    Google Scholar 

  21. Ascia, G., Catania, V., Palesi, M., Patti, D.: EPIC-explorer: a parameterized VLIW-based platform framework for design space exploration. In: First Workshop on Embedded Systems for Real-Time Multimedia (ESTIMedia), Newport Beach, California, USA, pp. 65–72 (2003)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Davide Patti .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer International Publishing Switzerland

About this paper

Cite this paper

Patti, D., Palesi, M., Catania, V. (2014). Merging Compilation and Microarchitectural Configuration Spaces for Performance/Power Optimization in VLIW-Based Systems. In: Silhavy, R., Senkerik, R., Oplatkova, Z., Silhavy, P., Prokopova, Z. (eds) Modern Trends and Techniques in Computer Science. Advances in Intelligent Systems and Computing, vol 285. Springer, Cham. https://doi.org/10.1007/978-3-319-06740-7_18

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-06740-7_18

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-06739-1

  • Online ISBN: 978-3-319-06740-7

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics