Skip to main content

Extreme Energy Efficiency by Near Threshold Voltage Operation

  • Chapter

Abstract

Technology scaling will continue providing abundance of transistors for integration, only to be limited by the energy consumption. Near threshold voltage (NTV) operation has potential to improve energy efficiency by an order of magnitude. We discuss benefits, challenges, and circuit and system design considerations for reliable operation over a wide range of supply voltage—from nominal down to subthreshold region. The system designed for NTV can thus dynamically select modes of operation, from high performance, to high energy efficiency, to the lowest power.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   54.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. Kaul H et al (2009) A 320 mV 56 μW 411 GOPS/Watt ultra-low voltage motion estimation accelerator in 65 nm CMOS. IEEE Journal of Solid-State Circuits 44(1):107–44

    Google Scholar 

  2. Kaul H et al (2010) A 300 mV 494GOPS/W reconfigurable dual-supply 4-way SIMD vector processing accelerator in 45 nm CMOS. IEEE Journal of Solid-State Circuits 45(1):95–102

    Google Scholar 

  3. Kaul H et al (2012) A 1.45 GHz 52-to-162GFLOPS/W variable-precision floating-point fused multiply-add unit with certainty tracking in 32 nm CMOS. IEEE International Solid-State Circuits Conference (ISSCC), 2012, Feb. 2012 , Page(s): 182–184

    Google Scholar 

  4. Hsu S et al (2012) A 280 mV-1.1 V 256b reconfigurable SIMD vector permutation engine with 2-dimensional shuffle in 22 nm CMOS. IEEE International Solid-State Circuits Conference (ISSCC), 2012, Feb. 2012 , Page(s): 178–180

    Google Scholar 

  5. Dreslinski R et al (2010) Near-threshold computing: reclaiming Moore’s law through energy efficient integrated circuits. IEEE Proceedings, Year: 2010, 99(2):253-2066

    Google Scholar 

  6. Kaul H et al (2012) Near-threshold voltage (NTV) design – opportunities and challenges. Design Automation Conference (DAC), 3–7 June 2012, Page(s): 1149–1154

    Google Scholar 

  7. Agarwal A et al (2010) A 32 nm 8.3 GHz 64-entry × 32b variation tolerant near-threshold voltage register file. VLSI Circuits Symposium, Year: 2010, Pages: 105–106

    Google Scholar 

  8. Seok M et al (2008) The Phoenix processor: a 30 pW platform for sensor applications. VLSI Circuits Symposium, Year: 2008, Pages: 188–189

    Google Scholar 

  9. Jain S et al (2012) A 280 mV-to-1.2V wide-operating-range IA-32 processor in 32 nm CMOS. IEEE International Solid-State Circuits Conference (ISSCC), 2012, Feb. 2012, Page(s): 66–68

    Google Scholar 

  10. Pawlowski R et al (201) Characterization of radiation-induced SRAM and logic soft errors from 0.33V to 1.0V in 65 nm CMOS. Custom Integrated Circuits Conference (CICC), Year: 2014, Pages: 1–4

    Google Scholar 

  11. Borkar S et al (2011) The future of microprocessors. Communications of the ACM, May 2011, 54(5):67–77

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Shekhar Borkar .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Borkar, S. (2016). Extreme Energy Efficiency by Near Threshold Voltage Operation. In: Hübner, M., Silvano, C. (eds) Near Threshold Computing. Springer, Cham. https://doi.org/10.1007/978-3-319-23389-5_1

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-23389-5_1

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-23388-8

  • Online ISBN: 978-3-319-23389-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics