Skip to main content

Variability-Aware Voltage Island Management for Near-Threshold Computing with Performance Guarantees

  • Chapter
  • 652 Accesses

Abstract

The power-wall problem driven by the stagnation of supply voltages in deep-submicron technology nodes, is now the major scaling barrier for moving towards the manycore era. Although the technology scaling enables extreme volumes of computational power, power budget violations will permit only a limited portion to be actually exploited, leading to the so called dark silicon. Near-Threshold voltage Computing (NTC) has emerged as a promising approach to overcome the manycore power-wall, at the expenses of reduced performance values and higher sensitivity to process variations. Given that several application domains operate over specific performance constraints, the performance sustainability is considered a major issue for the wide adoption of NTC. Thus, in this chapter, we investigate how performance guarantees can be ensured when moving towards NTC manycores through variability-aware voltage and frequency allocation schemes. We propose three aggressive NTC voltage tuning and allocation strategies, showing that performance can be efficiently sustained or even optimized at the NTC regime. Finally, we show that NTC highly depends on the underlying workload characteristics, delivering average power gains of 65 % for thread-parallel workloads and up to 90 % for process-parallel workloads, while offering an extensive analysis on the effects of different voltage tuning/allocation strategies and voltage regulator configurations.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   54.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. Borkar S (2010) The exascale challenge. In: 2010 International Symposium on VLSI design automation and test (VLSI-DAT), pp 2–3

    Google Scholar 

  2. Carlson TE, Heirman W, Eeckhout L (2011) Sniper: exploring the level of abstraction for scalable and accurate parallel multi-core simulations. In: International conference for high performance computing, networking, storage and analysis (SC)

    Google Scholar 

  3. Chang L, Montoye R, Nakamura Y, Batson K, Eickemeyer R, Dennard R, Haensch W, Jamsek D (2008) An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches. IEEE J Solid State Circuits 43(4):956–963

    Article  Google Scholar 

  4. Dennard R, Gaensslen F, Rideout V, Bassous E, LeBlanc A (1974) Design of ion-implanted MOSFET’s with very small physical dimensions. IEEE J Solid State Circuits 9(5):256–268

    Article  Google Scholar 

  5. Dreslinski RG, Zhai B, Mudge TN, Blaauw D, Sylvester D (2007) An energy efficient parallel architecture using near threshold operation. In: PACT, pp 175–188

    Google Scholar 

  6. Dreslinski RG, Wieckowski M, Blaauw D, Sylvester D, Mudge TN (2010) Near-threshold computing: reclaiming Moore’s law through energy efficient integrated circuits. Proc IEEE 98(2):253–266

    Article  Google Scholar 

  7. Eisele M, Berthold J, Schmitt-Landsiedel D, Mahnkopf R (1997) The impact of intra-die device parameter variations on path delays and on the design for yield of low voltage digital circuits. IEEE Trans Very Large Scale Integr Syst 5(4):360–368

    Article  Google Scholar 

  8. Esmaeilzadeh H, Blem E, St Amant R, Sankaralingam K, Burger D (2011) Dark silicon and the end of multicore scaling. In: Proceedings of the 38th annual international symposium on computer architecture, ISCA’11, pp 365–376

    Google Scholar 

  9. Faust GG, Zhang R, Skadron K, Stan MR, Meyer BH (2012) ArchFP: rapid proto-typing of pre-RTL floorplans. In: Katkoori S, Guthaus MR, Coskun AK, Burg A, Reis R (eds) VLSI-SoC, pp 183–188

    Google Scholar 

  10. Goulding-Hotta N, Sampson J, Venkatesh G, Garcia S, Auricchio J, Huang P, Arora M, Nath S, Bhatt V, Babb J, Swanson S, Taylor M (2011) The GreenDroid mobile application processor: an architecture for silicon’s dark future. IEEE Micro 31(2):86–95

    Article  Google Scholar 

  11. Govindaraju V, Ho CH, Sankaralingam K (2011) Dynamically specialized datapaths for energy efficient computing. In: 2011 IEEE 17th international symposium on high performance computer architecture (HPCA), pp 503–514

    Google Scholar 

  12. Herbert S, Garg S, Marculescu D (2012) Exploiting process variability in voltage/frequency control. IEEE Trans Very Large Scale Integr Syst 20(8):1392–1404

    Article  Google Scholar 

  13. Kanter D (2008) Inside Nehalem: Intel’s future processor and system. http://www.realworldtech.com

  14. Karpuzcu UR, Kolluru KB, Kim NS, Torrellas J (2012) VARIUS-NTV: a microarchitectural model to capture the increased sensitivity of manycores to process variations at near-threshold voltages. In: IEEE/IFIP international conference on dependable systems and networks, DSN, pp 1–11

    Google Scholar 

  15. Karpuzcu UR, Sinkar AA, Kim NS, Torrellas J (2013) EnergySmart: toward energy-efficient manycores for near-threshold computing. In: HPCA, pp 542–553

    Google Scholar 

  16. Majzoub SS, Saleh RA, Wilton SJE, Ward RK (2010) Energy optimization for many-core platforms: communication and PVT aware voltage-island formation and voltage selection algorithm. Trans Comput Aided Des Integr Circuits Syst 29(5):816–829

    Article  Google Scholar 

  17. Markovic D, Wang C, Alarcon L, Liu TT, Rabaey J (2010) Ultralow-power design in near-threshold region. Proc IEEE 98(2):237–252

    Article  Google Scholar 

  18. Paterna F, Reda S (2013) Mitigating dark-silicon problems using superlattice-based thermoelectric coolers. In: Proceedings of the conference on design, automation and test in Europe, EDA Consortium, San Jose, CA, USA, DATE’13, pp 1391–1394

    Google Scholar 

  19. Pinckney N, Sewell K, Dreslinski RG, Fick D, Mudge T, Sylvester D, Blaauw D (2012) Assessing the performance limits of parallelized near-threshold computing. In: Proceedings of the 49th design automation conference, pp 1147–1152

    Google Scholar 

  20. Raghavan A, Luo Y, Chandawalla A, Papaefthymiou MC, Pipe KP, Wenisch TF, Martin MMK (2012) Computational sprinting. In: IEEE HPCA, pp 249–260

    Google Scholar 

  21. Sarangi S, Greskamp B, Teodorescu R, Nakano J, Tiwari A, Torrellas J (2008) VARIUS: a model of process variation and resulting timing errors for microarchitects. IEEE Trans Semicond Manuf 21(1):3–13

    Article  Google Scholar 

  22. Sasan A, Homayoun H, Eltawil AM, Kurdahi FJ (2011) Inquisitive defect cache: a means of combating manufacturing induced process variation. IEEE Trans Very Large Scale Integr Syst 19(9):1597–1609

    Article  Google Scholar 

  23. Silvano C, Palermo G, Xydis S, Stamelakos IS (2014) Voltage island management in near threshold manycore architectures to mitigate dark silicon. In: Design, automation & test in Europe conference & exhibition, DATE 2014, Dresden, Germany, March 24–28, 2014, pp 1–6

    Google Scholar 

  24. Sinkar AA, Ghasemi HR, Schulte MJ, Karpuzcu UR, Kim NS (2014) Low-cost per-core voltage domain support for power-constrained high-performance processors. IEEE Trans Very Large Scale Integr Syst 22(4):747–758

    Article  Google Scholar 

  25. Stamelakos I, Xydis S, Palermo G, Silvano C (2014) Variation aware voltage island formation for power efficient near-threshold manycore architectures. In: Proceedings of the ASP-DAC, ASP-DAC’14

    Google Scholar 

  26. Torrellas J (2014) Extreme-scale computer architecture: energy efficiency from the ground up. In: Proceedings of the conference on design, automation and Test in Europe, DATE’14

    Google Scholar 

  27. Turakhia Y, Raghunathan B, Garg S, Marculescu D (2013) HaDeS: architectural synthesis for heterogeneous dark silicon chip multi-processors. In: DAC, ACM, pp 173–178

    Google Scholar 

  28. Woo SC, Ohara M, Torrie E, Singh JP, Gupta A (1995) The SPLASH-2 programs: characterization and methodological considerations. SIGARCH Comput Arch News 23(2):24–36

    Article  Google Scholar 

  29. Li S, Ahn JH, Strong RD, Brockman JB, Tullsen DM, Jouppi NP (2009) McPAT: an integrated power, area, and timing modeling framework for multi-core and many-core architectures. In: Proceedings of the 42nd annual IEEE/ACM international symposium on Microarch20tecture, MICRO 42, pp 469–480

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Sotirios Xydis .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Stamelakos, I., Xydis, S., Palermo, G., Silvano, C. (2016). Variability-Aware Voltage Island Management for Near-Threshold Computing with Performance Guarantees. In: Hübner, M., Silvano, C. (eds) Near Threshold Computing. Springer, Cham. https://doi.org/10.1007/978-3-319-23389-5_3

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-23389-5_3

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-23388-8

  • Online ISBN: 978-3-319-23389-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics