Skip to main content

Multi-Domain Verification of Power, Clock and Reset Domains

  • Conference paper
  • First Online:

Part of the book series: Lecture Notes in Computer Science ((LNPSE,volume 9434))

Abstract

Multi-Domain Verification (MDV) is a comprehensive approach that specializes in verifying design logic that straddles heterogeneous domains. An integrated circuit design can be conceptually disintegrated into multiple types of partition for domain analysis. For example, a modern design typically has a power domain partition, a clock domain partition, and a reset domain partition. Historically, domain analysis is confined to verification of the same domain (homogeneous domain): for example, power domain verification and clock domain crossing verification are performed separately. As designs become highly sophisticated and domains are inter-dependence of each other, this practice is no longer sufficient. Interactions between different types of domains (heterogeneous domains) is exceptionally complex and critical to the success of the device. Hence, a new methodology is required to verify them effectively. Multi-domain verification uses power domain information from the Unified Power Format (UPF) specifications, clock domain information from the clock tree models and reset domain information from the reset tree models. It employs specialized domain analysis and methodologies to examine the complex interactions of logic that straddles domain boundaries—among both homogeneous domains and heterogeneous domains. Multi-domain verification is an efficient way to ensure that all inter-domain issues are explored and verified with complete confidence.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. ARM® Cortex®-A17 MPCore Processor Technical Reference Manual Revision: r1p0. http://infocenter.arm.com

  2. Unified Power Format: 1801–2013 IEEE Standard for Design and Verification of Low-Power Integrated Circuits. IEEE (2013)

    Google Scholar 

  3. Bembaron, F., Kakkar, S., Mukherjee, R., Srivastava, A.: Low power verification methodology using UPF. In: DVCon (2011)

    Google Scholar 

  4. Srivastava, A., Bhargava, M.: Stepping into UPF 2.1 world: easy solution to complex power aware verification. In: DVCon (2014)

    Google Scholar 

  5. Ginosar, R.: Metastability and synchronizers, a tutorial. IEEE Des. Test Compt. 28(5), 23–35 (2011)

    Article  Google Scholar 

  6. Cummings, C.: Clock domain crossing (CDC) design and verification techniques using SystemVerilog. In: Synopsys User Group Meeting (SNUG) (2008)

    Google Scholar 

  7. Kwok, C., Gupta, V., Ly, T.: Using assertion-based verification to verify clock domain crossing signals. In: DVCon (2003)

    Google Scholar 

  8. Liu, K., Yang, P., Levitt, J., Berman, M., Eslinger, M.: Using formal techniques to verify system on chip reset schemes. In: DVCon (2013)

    Google Scholar 

  9. Kwok, C., Viswanathan, P., Yeung, P.: Addressing the challenges of reset verification in SoC designs. In: DVCon (2015)

    Google Scholar 

  10. Chakraborty, A., Jain, N., Goel, S.: Power aware CDC verification at RTL for Faster SoC verification closure. In: DVCon India (2014)

    Google Scholar 

  11. Takara, K.: Next-generation power aware CDC verification – what have we learned. In: DVCon (2015)

    Google Scholar 

  12. Cummings, C., Mills, D., Golson, S.: Asynchronous and synchronous reset design techniques. In: SNUG 2003, Boston (2003)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ping Yeung .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2015 Springer International Publishing Switzerland

About this paper

Cite this paper

Yeung, P., Mandel, E. (2015). Multi-Domain Verification of Power, Clock and Reset Domains. In: Piterman, N. (eds) Hardware and Software: Verification and Testing. HVC 2015. Lecture Notes in Computer Science(), vol 9434. Springer, Cham. https://doi.org/10.1007/978-3-319-26287-1_15

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-26287-1_15

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-26286-4

  • Online ISBN: 978-3-319-26287-1

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics