Skip to main content

HVCRouter: Energy Efficient Network-on-Chip Router with Heterogeneous Virtual Channels

  • Conference paper
  • First Online:
Algorithms and Architectures for Parallel Processing (ICA3PP 2015)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 9528))

Abstract

The high scalability of the NoC (network-on-chip) makes it one of the best choices to meet the demand for bandwidth increasing in systems-on-chips and chip multiprocessors. However, the NoC is increasingly becoming power-constrained. A significant part of the NoC’s power is consumed in the router buffer. In this paper, we propose HVCRouter, a novel NoC router design with heterogeneous virtual channels. In particular, HVCRouter incorporates a bufferless channel to respect its power efficiency at low network load. HVCRouter employs a fine-grained power gating algorithm which exploits power saving opportunities at both channel and buffer levels simultaneously, and is able to achieve high power efficiency without degrading performance at varying network utilization. Our experimental results on both synthetic and real workloads show that HVCRouter delivers similar performance with FlexiBuffer, the best in the literature. More importantly, HVCRouter consumes an average of 22.797 % less power, and results in 20.698 % lower EDP (energy delay product) than FlexiBuffer.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Hoskote, Y., Vangal, S., Singh, A., Borkar, N., Borkar, S.: A 5-GHz mesh interconnect for a teraflops processor. IEEE Micro 27(5), 51–61 (2007)

    Article  Google Scholar 

  2. Taylor, M.B., Kim, J., Miller, J., Wentzlaff, D., Ghodrat, F., Greenwald, B., Hoffman, H., Johnson, P., Lee, J.-W., Lee, W., Ma, A., Saraf, A., Seneski, M., Shnidman, N., Strumpen, V., Frank, M., Amarasinghe, S., Agarwal, A.: The raw microprocessor: a computational fabric for software circuits and general-purpose programs. IEEE Micro 22(2), 25–35 (2002)

    Article  Google Scholar 

  3. Kim, J.S., Taylor, M.B., Miller, J., Wentzlaff, D.: Energy characterization of a tiled architecture processor with on-chip networks. In: Proceedings of the 2003 International Symposium on Low Power Electronics and Design, ser. ISLPED 2003, pp. 424–427. ACM, New York, NY, USA (2003)

    Google Scholar 

  4. Borkar, S.: Thousand core chips: a technology perspective. In: Proceedings of the 44th Annual Design Automation Conference, ser. DAC 2007, pp. 746–749. ACM, New York, NY, USA (2007)

    Google Scholar 

  5. Jafri, S.A.R., Hong, Y.-J., Thottethodi, M., Vijaykumar, T.N.: Adaptive flow control for robust performance and energy. In: Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture, ser. MICRO ’43, pp. 433–444. IEEE Computer Society, Washington, DC, USA (2010)

    Google Scholar 

  6. Moscibroda, T., Mutlu, O.: A case for bufferless routing in on-chip networks. In: Proceedings of the 36th Annual International Symposium on Computer Architecture, ser. ISCA 2009, pp. 196–207. ACM, New York, NY, USA (2009)

    Google Scholar 

  7. Kim, G., Kim, J., Yoo, S.: Flexibuffer: reducing leakage power in on-chip network routers. In: Proceedings of the 48th Design Automation Conference, ser. DAC 2011, pp. 936–941. ACM, New York, USA (2011)

    Google Scholar 

  8. Hayenga, M., Jerger, N.E., Lipasti, M.: Scarab: a single cycle adaptive routing and bufferless network. In: Proceedings of the 42Nd Annual IEEE/ACM International Symposium on Microarchitecture, ser. MICRO 42, pp. 244–254. ACM, New York, NY, USA (2009)

    Google Scholar 

  9. Dally, W.J., Towles, B.: Principles and Practices of Interconnection Networks. Morgan Kaufmann, San Francisco (2004)

    Google Scholar 

  10. Jiang, N., Becker, D.U., Michelogiannakis, G., Balfour, J., Towles, B., Kim, J., Dally, W.J.: A detailed and flexible cycle-accurate network-on-chip simulator. In: Proceedings of the 2013 IEEE International Symposium on Performance Analysis of Systems and Software (2013)

    Google Scholar 

  11. Sun, C., Chen, C.-H.O., Kurian, G., Wei, L., Miller, J., Agarwal, A., Peh, L.-S., Stojanovic, V.: Dsent - a tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling. In: Proceedings of the 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip, ser. NOCS 2012, pp. 201–210. IEEE Computer Society, Washington, DC, USA (2012)

    Google Scholar 

  12. Badr, M., Jerger, N.E.: Synfull: synthetic traffic models capturing cache coherent behaviour. In: Proceeding of the 41st Annual International Symposium on Computer Architecuture, ser. ISCA 2014, pp. 109–120. IEEE Press, Piscataway, NJ, USA (2014)

    Google Scholar 

  13. Bienia, C.: Benchmarking modern multiprocessors. Ph.D. dissertation, aAI3445564, Princeton, NJ, USA (2011)

    Google Scholar 

  14. Woo, S.C., Ohara, M., Torrie, E., Singh, J.P., Gupta, A.: The splash-2 programs: characterization and methodological considerations. In: Proceedings of the 22nd Annual International Symposium on Computer Architecture, ser. ISCA 1995, pp. 24–36. ACM, New York, USA (1995)

    Google Scholar 

  15. Michelogiannakis, G., Shalf, J.: Variable-width datapath for on-chip network static power reduction. In: Proceedings of the 2014 IEEE/ACM Sixth International Symposium on Networks-on-Chip, ser. NOCS 2014, pp. 96–103. IEEE Computer Society, Washington, DC, USA (2014)

    Google Scholar 

  16. Chen, L., Pinkston, T.M.: Nord: node-router decoupling for effective power-gating of on-chip routers. In: Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture, ser. MICRO-45, pp. 270–281. IEEE Computer Society, Washington, DC, USA (2012)

    Google Scholar 

  17. Parikh, R., Das, R., Bertacco, V.: Power-aware nocs through routing and topology reconfiguration. In: Proceedings of the 51st Annual Design Automation Conference, ser. DAC 2014, pp. 162:1–162:6. ACM, New York, NY, USA (2014)

    Google Scholar 

  18. Matsutani, H., Koibuchi, M., Wang, D., Amano, H.: Run-time power gating of on-chip routers using look-ahead routing. In: Proceedings of the 2008 Asia and South Pacific Design Automation Conference, ser. ASP-DAC 2008, pp. 55–60. IEEE Computer Society Press, Los Alamitos, CA, USA (2008)

    Google Scholar 

  19. Chen, L., Zhu, D., Pedram, M., Pinkston, T.M.: Power punch: towards non-blocking power-gating of noc routers. In: Proceedings of the 2015 IEEE 21th International Symposium on High Performance Computer Architecture (HPCA), ser. HPCA 2015. IEEE Computer Society, Washington, DC, USA (2015)

    Google Scholar 

  20. Samih, A., Wang, R., Krishna, A., Maciocco, C., Tai, C., Solihin, Y.: Energy-efficient interconnect via router parking. In: Proceedings of the 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA), ser. HPCA 2013. IEEE Computer Society, Washington, DC, USA (2013)

    Google Scholar 

  21. Yue, D.Z.T.M.P.S., Chen, L., Pedram, M.: Smart butterfly: reducing static power dissipation of network-on-chip with core-state-awareness. In: Proceedings of the 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), pp. 311–314 (2014)

    Google Scholar 

  22. Chen, L., Zhao, L., Wang, R., Pinkston, T.M.: MP3: minimizing performancepenalty for power-gating of clos network-on-chip. In: 20th IEEE International Symposium on High Performance Computer Architecture, HPCA 2014, pp. 296–307. IEEE Computer Society, Orlando, FL, USA, 15–19 Feb 2014

    Google Scholar 

  23. Balfour, J., Dally, W.J.: Design tradeoffs for tiled cmp on-chip networks. In: Proceedings of the 20th Annual International Conference on Supercomputing, ser. ICS 2006, pp. 187–198. ACM, New York, NY, USA (2006)

    Google Scholar 

  24. Das, R., Narayanasamy, S., Satpathy, S.K., Dreslinski, R.G.: Catnap: energy proportional multiple network-on-chip. In: Proceedings of the 40th Annual International Symposium on Computer Architecture, ser. ISCA 2013, pp. 320–331. ACM, New York, NY, USA (2013)

    Google Scholar 

  25. Mishra, A.K., Mutlu, O., Das, C.R.: A heterogeneous multiple network-on-chip design: an application-aware approach. In: The 50th Annual Design Automation Conference 2013, DAC 2013, p. 36. Austin, TX, USA, May 29–June 07 2013

    Google Scholar 

  26. Bokhari, H., Javaid, H., Shafique, M., Henkel, J., Parameswaran, S.: Darknoc: designing energy-efficient network-on-chip with multi-vt cells for dark silicon. In: Proceedings of the 51st Annual Design Automation Conference, ser. DAC 2014, pp. 161:1–161:6. ACM, New York, NY, USA 2014

    Google Scholar 

  27. Fallin, C., Nazario, G., Yu, X., Chang, K., Ausavarungnirun, R., Mutlu, O.: Minbd: minimally-buffered deflection routing for energy-efficient interconnect. In: Proceedings of the 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip, ser. NOCS 2012, pp. 1–10. IEEE Computer Society, Washington, DC, USA (2012)

    Google Scholar 

Download references

Acknowledgments

We thank the anonymous reviewers for their precious feedback. We gratefully acknowledge members of Tianhe interconnect group at NUDT for many inspiring conversations early in the project. The work was partially supported by 863 Program under Grant No. 2012AA01A301, NSFC under Grant No. 61370018, 61272482, and FANEDD under Grant No. 201450.

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Dezun Dong or Li Wang .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2015 Springer International Publishing Switzerland

About this paper

Cite this paper

Wu, J., Liao, X., Dong, D., Wang, L., Li, C. (2015). HVCRouter: Energy Efficient Network-on-Chip Router with Heterogeneous Virtual Channels. In: Wang, G., Zomaya, A., Martinez, G., Li, K. (eds) Algorithms and Architectures for Parallel Processing. ICA3PP 2015. Lecture Notes in Computer Science(), vol 9528. Springer, Cham. https://doi.org/10.1007/978-3-319-27119-4_14

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-27119-4_14

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-27118-7

  • Online ISBN: 978-3-319-27119-4

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics