Skip to main content

Test Pattern Generation Effort Evaluation of Reversible Circuits

  • Conference paper
  • First Online:
Reversible Computation (RC 2017)

Part of the book series: Lecture Notes in Computer Science ((LNPSE,volume 10301))

Included in the following conference series:

Abstract

The problem of synthesis and optimization of reversible and quantum circuits have drawn the attention of researchers for more than one decade. With physical technologies for realizing the quantum bits (qubits) being announced, the problem of testing such circuits is also becoming important. There have been several works for identifying fault models for reversible circuits, and test generation algorithms for the same. In this work, we aim to show that the problem of testing reversible circuits with respect to recent fault models (like missing gate, missing control, reduced control, etc.) is easy, and it is not really worth to spend time and effort for generating better test patterns. To establish this point, test generators using two extreme scenarios have been implemented: a naive test generator that is very fast but does not guarantee optimality and a SAT-based test generator that is slow but guarantees smallest test sets. Experiments have been carried out on reversible benchmark circuits, which establish the fact that the size of the test patterns does not drastically differ across the spectrum.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Amy, M., Maslov, D., Mosca, M., Roetteler, M.: A meet-in-the-middle algorithm for fast synthesis of depth-optimal quantum circuits. IEEE Trans. CAD 32(6), 818–830 (2013)

    Article  Google Scholar 

  2. Bennett, C.H.: Logical reversibility of computation. IBM J. Res. Dev. 17(6), 525–532 (1973)

    Article  MathSciNet  MATH  Google Scholar 

  3. Berut, A., Arakelyan, A., Petrosyan, A., Ciliberto, S., Dillenschneider, R., Lutz, E.: Experimental verification of Landauer’s principle linking information and thermodynamics. Nature 483, 187–189 (2012)

    Article  Google Scholar 

  4. Drechsler, R., Wille, R.: From truth tables to programming languages: progress in the design of reversible circuits. In: International Symposium on Multi-valued Logic (2011)

    Google Scholar 

  5. Hayes, J.P., Polian, I., Becker, B.: Testing for missing-gate faults in reversible circuits. In: Asian Test Symposium, pp. 100–105 (2004)

    Google Scholar 

  6. Kole, D.K., Rahaman, H., Das, D.K., Bhattacharya, B.B.: Derivation of automatic test set for detection of missing gate faults in reversible circuits. In: International Symposium on Electronic System Design (ISED), pp. 200–205, December 2011

    Google Scholar 

  7. Kole, D.K., Rahaman, H., Das, D.K., Bhattacharya, B.B.: Derivation of test set for detecting multiple missing-gate faults in reversible circuits. Comput. Electr. Eng. 39(2), 225–236 (2013)

    Article  Google Scholar 

  8. Landauer, R.: Irreversibility and heat generation in computing process. IBM J. Res. Dev. 5(3), 183–191 (1961)

    Article  MathSciNet  MATH  Google Scholar 

  9. Miller, D.M., Wille, R., Sasanian, Z.: Elementary quantum gate realizations for multiple-control Toffolli gates. In: International Symposium on Multi-valued Logic (2011)

    Google Scholar 

  10. Mondal, J., Das, D.K., Kole, D.K., Rahaman, H.: A design for testability technique for quantum reversible circuits. In: East-West Design & Test Symposium (EWDTS 2013) (2012)

    Google Scholar 

  11. Nielsen, M., Chuang, I.: Quantum Computation and Quantum Information. Cambridge University Press, New York (2000)

    MATH  Google Scholar 

  12. Patel, K.N., Hayes, J.P., Markov, I.L.: Fault testing for reversible circuits. IEEE Trans. CAD 23(8), 1220–1230 (2004)

    Article  Google Scholar 

  13. Polian, F., T., Becker, B., Hayes, J.P.: A family of logical fault models for reversible circuits. In: Asian Test Symposium, pp. 422–427 (2004)

    Google Scholar 

  14. Saeedi, M., Markov, I.L.: Synthesis and optimization of reversible circuits - a survey. ACM Comput. Surv. 45(2), 21:1–21:34 (2013)

    Google Scholar 

  15. Soeken, M., Frehse, S., Wille, R., Drechsler, R.: RevKit: an open source toolkit for the design of reversible circuits. In: Vos, A., Wille, R. (eds.) RC 2011. LNCS, vol. 7165, pp. 64–76. Springer, Heidelberg (2012). doi:10.1007/978-3-642-29517-1_6

    Chapter  Google Scholar 

  16. Wille, R., Grosse, D., Teuber, L., Dueck, G.W., Drechsler, R.: Revlib: an online resource for reversible functions and reversible circuits. In: International Symposium on Multi-valued Logic, pp. 220–225, May 2008

    Google Scholar 

  17. Wille, R., Zhang, H., Drechsler, R.: ATPG for reversible circuits using simulation, Boolean satisfiability, and pseudo Boolean optimization. In: IEEE Annual Symposium on VLSI, pp. 120–125, July 2011

    Google Scholar 

  18. Zhang, H., Frehse, S., Wille, R., Drechsler, R.: Determining minimal testsets for reversible circuits using Boolean satisfiability. In: AFRICON, pp. 1–6 (2011)

    Google Scholar 

  19. Zhang, H., Wille, R., Drechsler, R.: SAT-based ATPG for reversible circuits. In: International Design and Test Workshop, pp. 149–154, December 2010

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Indranil Sengupta .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing AG

About this paper

Cite this paper

Kole, A., Wille, R., Datta, K., Sengupta, I. (2017). Test Pattern Generation Effort Evaluation of Reversible Circuits. In: Phillips, I., Rahaman, H. (eds) Reversible Computation. RC 2017. Lecture Notes in Computer Science(), vol 10301. Springer, Cham. https://doi.org/10.1007/978-3-319-59936-6_13

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-59936-6_13

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-59935-9

  • Online ISBN: 978-3-319-59936-6

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics