Skip to main content

Performance Attacks on Branch Predictors in Embedded Processors with SMT Support

  • Conference paper
  • First Online:
Information Systems Security (ICISS 2017)

Part of the book series: Lecture Notes in Computer Science ((LNSC,volume 10717))

Included in the following conference series:

  • 984 Accesses

Abstract

Designing efficient branch predictors has always been one of the top priority research tasks in computer architecture. In an embedded processor with support for multi-threaded execution, with multiple different applications executing in different threads, and managed by a single predictor, significant inter-application interference due to sharing of predictor data structures has been acknowledged to be a serious concern. In this paper, we show an attack methodology which exploits these shared structures for performance attacks on a benign application. In particular, we propose a methodology for creating a variant of a benign application, which when dispatched in a concurrently executing thread, can definitively slow down the performance of the benign one. We report the effect of such attacks with experiments on the Siemens software benchmarks.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Acıiçmez, O., et al.: New branch prediction vulnerabilities in OpenSSL and necessary software countermeasures. In: IMA, pp. 185–203 (2007)

    Google Scholar 

  2. Binkert, N., et al.: The gem5 simulator. ACM SIGARCH Comput. Architect. News 39(2), 1–7 (2011)

    Article  Google Scholar 

  3. Das, M., Sardar, B., Banerjee, A.: Attacks on branch predictors: an empirical exploration. In: Jajodia, S., Mazumdar, C. (eds.) ICISS 2015. LNCS, vol. 9478, pp. 511–520. Springer, Cham (2015). https://doi.org/10.1007/978-3-319-26961-0_30

    Chapter  Google Scholar 

  4. Das, M., et al.: Attacks on hybrid branch predictors: an empirical exploration. In: CCSN, pp. 139–140 (2016)

    Google Scholar 

  5. Do, H., et al.: Supporting controlled experimentation with testing techniques: an infrastructure and its potential impact. Empir. Softw. Engg. 10(4), 405–435 (2005)

    Article  Google Scholar 

  6. Eggers, S.J., et al.: Simultaneous multithreading: a platform for next-generation processors. IEEE micro 17(5), 12–19 (1997)

    Article  Google Scholar 

  7. Evtyushkin, D., et al.: Understanding and mitigating covert channels through branch predictors. TACO 13(1), 10 (2016)

    Article  Google Scholar 

  8. Fog, A.: The microarchitecture of Intel, AMD and VIA CPUs. An Optimization Guide for Assembly Programmers and Compiler Makers. Copenhagen University College of Engineering (2011)

    Google Scholar 

  9. Henning, J.L.: SPEC CPU2006 benchmark descriptions. ACM SIGARCH Comput Architect. News 34(4), 1–17 (2006)

    Article  Google Scholar 

  10. McFarling, S.: Combining Branch Predictors. Technical Report, TN-36 (1993)

    Google Scholar 

  11. Ramsay, M., et al.: Exploring efficient SMT branch predictor design. In: ISCA, vol. 26 (2003)

    Google Scholar 

  12. Tasher, N., et al.: Protection against side-channel attacks on non-volatile memory. U.S. Patent 9,343,162, 17 May 2016

    Google Scholar 

  13. Tullsen, D.M., et al.: Simultaneous multithreading: maximizing on-chip parallelism. In: ACM SIGARCH Computer Architecture News, vol. 23, pp. 392–403. ACM (1995)

    Google Scholar 

Download references

Acknowledgement

This work was partially funded by a research grant from Defence Research and Development Organization, Government of India awarded to Indian Statistical Institute. The authors would like to thank Prof. Sandeep Shukla and Prof. Mainak Chaudhuri of IIT Kanpur for their suggestions on this work.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ansuman Banerjee .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing AG

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Das, M., Banerjee, A., Singh, N.K., Sardar, B. (2017). Performance Attacks on Branch Predictors in Embedded Processors with SMT Support. In: Shyamasundar, R., Singh, V., Vaidya, J. (eds) Information Systems Security. ICISS 2017. Lecture Notes in Computer Science(), vol 10717. Springer, Cham. https://doi.org/10.1007/978-3-319-72598-7_19

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-72598-7_19

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-72597-0

  • Online ISBN: 978-3-319-72598-7

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics