Skip to main content

Packetization of Shared-Memory Traces for Message Passing Oriented NoC Simulation

  • Conference paper
  • First Online:
  • 1735 Accesses

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 10876))

Abstract

Several benchmark suites, which provide a wide spectrum of applications in relevant domains, have been proposed and widely used in the computer architecture community. In the majority of them, a shared-memory based communication model is assumed for communication among tasks/threads of an application. Yet, most of the works in the context of Network-on-Chip (NoC) architectures use these benchmarks as a basis for their experiments. Nevertheless, NoC architectures enable message passing communication that is not exploited by the applications in current benchmark suites. In this paper, we propose a technique for converting the trace of memory references generated by the execution of a shared memory based multi-threaded program to the trace of communication messages that would be obtained if the same program would have been designed to use message passing. The proposed technique is applied to a set of representative benchmarks belonging to SPLASH-2 and PARSEC benchmark suites.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. Agarwal, N., Krishna, T., Peh, L.S., Jha, N.K.: Garnet: a detailed on-chip network model inside a full-system simulator. In: IEEE International Symposium on Performance Analysis of Systems and Software, 2009, ISPASS 2009, pp. 33–42. IEEE (2009)

    Google Scholar 

  2. Ascia, G., Catania, V., Di Nuovo, A.G., Palesi, M., Patti, D.: Performance evaluation of efficient multi-objective evolutionary algorithms for design space exploration of embedded computer systems. Appl. Soft Comput. J. 11(1), 382–398 (2011). https://doi.org/10.1016/j.asoc.2009.11.029

    Article  Google Scholar 

  3. Benini, L., Micheli, G.D.: Networks on chips: a new SoC paradigm. IEEE Comput. 35(1), 70–78 (2002)

    Article  Google Scholar 

  4. Bienia, C., Li, K.: PARSEC 2.0: a new benchmark suite for chip-multiprocessors. In: Proceedings of the 5th Annual Workshop on Modeling, Benchmarking and Simulation, June 2009

    Google Scholar 

  5. Binkert, N., Beckmann, B., Black, G., Reinhardt, S.K., Saidi, A., Basu, A., Hestness, J., Hower, D.R., Krishna, T., Sardashti, S., Sen, R., Sewell, K., Shoaib, M., Vaish, N., Hill, M.D., Wood, D.A.: The gem5 simulator. SIGARCH Comput. Archit. News 39(2), 1–7 (2011). https://doi.org/10.1145/2024716.2024718

    Article  Google Scholar 

  6. Catania, V., Mineo, A., Monteleone, S., Palesi, M., Patti, D.: Cycle-accurate network on chip simulation with noxim. ACM Trans. Model. Comput. Simul. 27(1), 4:1–4:25 (2016)

    Article  Google Scholar 

  7. Catania, V., Mineo, A., Monteleone, S., Palesi, M., Patti, D.: Improving energy efficiency in wireless network-on-chip architectures. ACM J. Emerg. Technol. Comput. Syst. 14(1) (2017). https://doi.org/10.1145/3138807

  8. Catania, V., Mineo, A., Monteleone, S., Patti, D.: Distributed topology discovery in self-assembled nano network-on-chip. Comput. Electr. Eng. 40(8), 292–306 (2014). https://doi.org/10.1016/j.compeleceng.2014.09.003

    Article  Google Scholar 

  9. Hestness, J., Grot, B., Keckler, S.W.: Netrace: dependency-driven trace-based network-on-chip simulation. In: Proceedings of the Third International Workshop on Network on Chip Architectures, NoCArc 2010, pp. 31–36. ACM, New York (2010). https://doi.org/10.1145/1921249.1921258

  10. Huang, Y.S.C., Chang, Y.C., Tsai, T.C., Chang, Y.Y., King, C.T.: Attackboard: a novel dependency-aware traffic generator for exploring NoC design space. In: Proceedings of the 49th Annual Design Automation Conference, DAC 2012, pp. 376–381. ACM, New York (2012). https://doi.org/10.1145/2228360.2228428

  11. Ivanov, A., Micheli, G.D.: The network-on-chip paradigm in practice and research. IEEE Des. Test Comput. 22(5), 399–403 (2005)

    Article  Google Scholar 

  12. Jafarzadeh, N., Palesi, M., Khademzadeh, A., Afzali-Kusha, A.: Data encoding techniques for reducing energy consumption in network-on-chip. IEEE Trans. Very Large Scale Integr. VLSI Syst. 22(3), 675–685 (2014). https://doi.org/10.1109/TVLSI.2013.2251020

    Article  Google Scholar 

  13. Jiang, N., Becker, D.U., Michelogiannakis, G., Balfour, J., Towles, B., Shaw, D.E., Kim, J., Dally, W.J.: A detailed and flexible cycle-accurate network-on-chip simulator. In: 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pp. 86–96 (2013)

    Google Scholar 

  14. Li, R.M., King, C.T., Das, B.: Extending gem5-garnet for efficient and accurate trace-driven NoC simulation. In: Proceedings of the 9th International Workshop on Network on Chip Architectures, NoCArc 2016, pp. 3–8. ACM, New York (2016). https://doi.org/10.1145/2994133.2994140

  15. de Lima, O.A., Fresse, V., Rousseau, F., Sheibanyrad, H.: Synthesis of dependency-aware traffic generators from NoC simulation traces. J. Syst. Archit. 71, 102–113 (2016). https://doi.org/10.1016/j.sysarc.2016.10.004. http://www.sciencedirect.com/science/article/pii/S1383762116301813

    Article  Google Scholar 

  16. Lis, M., Shim, K.S., Cho, M.H., Ren, P., Khan, O., Devadas, S.: Darsim: a parallel cycle-level NoC simulator. In: MoBS 2010-Sixth Annual Workshop on Modeling, Benchmarking and Simulation (2010)

    Google Scholar 

  17. Mahadevan, S., Angiolini, F., Storoaard, M., Olsen, R.G., Sparso, J., Madsen, J.: Network traffic generator model for fast network-on-chip simulation. In: Design, Automation and Test in Europe, vol. 2, pp. 780–785, March 2005. https://doi.org/10.1109/DATE.2005.22

  18. Miller, J.E., Kasture, H., Kurian, G., Gruenwald, C., Beckmann, N., Celio, C., Eastep, J., Agarwal, A.: Graphite: a distributed parallel simulator for multicores. In: 2010 IEEE 16th International Symposium on High Performance Computer Architecture (HPCA), pp. 1–12. IEEE (2010)

    Google Scholar 

  19. Nitta, C., Farrens, M., Macdonald, K., Akella, V.: Inferring packet dependencies to improve trace based simulation of on-chip networks. In: Proceedings of the Fifth ACM/IEEE International Symposium on Networks-on-Chip, NOCS 2011, pp. 153–160. ACM, New York (2011). https://doi.org/10.1145/1999946.1999971

  20. Palesi, M., Kumar, S., Catania, V.: Bandwidth aware routing algorithms for networks-on-chip platforms. IET Comput. Digit. Tech. 3(11), 413–429 (2009)

    Article  Google Scholar 

  21. Scherrer, A., Fraboulet, A., Risset, T.: Automatic phase detection for stochastic on-chip traffic generation. In: Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2006, pp. 88–93. ACM, New York (2006). https://doi.org/10.1145/1176254.1176277

  22. Tornero, R., Orduña, J.M., Palesi, M., Duato, J.: A communication-aware topological mapping technique for NoCs. In: Luque, E., Margalef, T., Benítez, D. (eds.) Euro-Par 2008. LNCS, vol. 5168, pp. 910–919. Springer, Heidelberg (2008). https://doi.org/10.1007/978-3-540-85451-7_98

    Chapter  Google Scholar 

  23. Valero-Lara, P., Krishnasamy, E., Jansson, J.: Towards HPC-embedded. Case study: Kalray and message-passing on NoC. Scalable Comput. Pract. Exp. 18(2), 151–160 (2017)

    Google Scholar 

  24. Woo, S.C., Ohara, M., Torrie, E., Singh, J.P., Gupta, A.: The SPLASH-2 programs: characterization and methodological considerations. In: Proceedings of the 22nd Annual International Symposium on Computer Architecture, ISCA 1995, pp. 24–36. ACM, New York (1995). https://doi.org/10.1145/223982.223990

  25. Yazdanbakhsh, A., Mahajan, D., Esmaeilzadeh, H., Lotfi-Kamran, P.: AxBench: a multiplatform benchmark suite for approximate computing. IEEE Des. Test 34(2), 60–68 (2017)

    Article  Google Scholar 

  26. Zimmer, C., Mueller, F.: NoCMsg: scalable NoC-based message passing. In: 2014 14th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing, pp. 186–195, May 2014. https://doi.org/10.1109/CCGrid.2014.19

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Davide Patti .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG, part of Springer Nature

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Catania, V., Salvatore, M., Palesi, M., Patti, D. (2018). Packetization of Shared-Memory Traces for Message Passing Oriented NoC Simulation. In: Yokota, R., Weiland, M., Keyes, D., Trinitis, C. (eds) High Performance Computing. ISC High Performance 2018. Lecture Notes in Computer Science(), vol 10876. Springer, Cham. https://doi.org/10.1007/978-3-319-92040-5_16

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-92040-5_16

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-92039-9

  • Online ISBN: 978-3-319-92040-5

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics