Skip to main content

Error Analysis and Optimization in Approximate Arithmetic Circuits

  • Chapter
  • First Online:

Abstract

This chapter presents a comprehensive study of various error analysis methodologies for evaluating the accuracy of approximate circuits, and the importance of such methodologies in their design. Although approximate circuits leverage the inherent perceptual limitations of human senses, they should be deployed in a manner that does not compromise user experience. In other words, the errors introduced due to using approximate circuits should be within acceptable margins. These margins depend on the target applications, and a systematic approach is required to ensure that the designed approximate circuit indeed meets the specifications in terms of the margins. The first step in achieving this goal is to obtain the error introduced in the output of the circuit due to approximation, and the first part of this chapter discusses various metrics to quantify that error. Since the error not only depends on the circuit structure, but also on the input vectors, these metrics are derived statistically. The error is then modeled as a function of various design parameters of the circuit, as well as the statistics of the input vector. The second part of the chapter discusses these modeling techniques in detail for various types of approximate circuits. Finally, the error model is utilized during the design phase to limit the maximum inaccuracy in approximate circuits. In other words, similar to the timing, power, and area constraints in regular circuit design, error is treated as an additional constraint for approximate circuit design. In this connection, the last part of this chapter discusses a set of optimization algorithms for circuit design using this additional error constraint.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD   54.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Notes

  1. 1.

    An unweighted edge implies it is not shifted, representing a multiplication by 20.

References

  1. Chan WTJ, Kahng AB, Kang S, Kumar R, Sartori J (2013) Statistical analysis and modeling for error composition in approximate computation circuits. In: Proceedings of the IEEE international conference on computer design, pp 47–53

    Google Scholar 

  2. Gupta V, Mohapatra D, Raghunathan A, Roy K (2013) Low-power digital signal processing using approximate adders. IEEE Trans Comput Aided Des Integr Circuits Syst 32(1):124–137

    Article  Google Scholar 

  3. Han J, Orshansky M (2013) Approximate computing: an emerging paradigm for energy-efficient design. In: Proceedings of the IEEE European test symposium, pp 1–6

    Google Scholar 

  4. Huang J, Lach J, Robins G (2012) A methodology for energy-quality tradeoff using imprecise hardware. In: Proceedings of the ACM/EDAC/IEEE design automation conference, pp 504–509

    Google Scholar 

  5. Lee S, Lee D, Han K, Shriver E, John LK, Gerstlauer A (2016) Statistical quality modeling of approximate hardware. In: Proceedings of the IEEE international symposium on quality electronic design, pp 163–168

    Google Scholar 

  6. Li C, Luo W, Sapatnekar SS, Hu J (2015) Joint precision optimization and high level synthesis for approximate computing. In: Proceedings of the ACM/EDAC/IEEE design automation conference, pp 104.1–104.6

    Google Scholar 

  7. Liu C, Han J, Lombardi F (2014) A low-power, high-performance approximate multiplier with configurable partial error recovery. In: Proceedings of the IEEE design, automation, and test in Europe, pp 1–4

    Google Scholar 

  8. Loeffler C, Ligtenberg A, Moschytz GS (1989) Practical Fast 1-D DCT algorithms with 11 multiplications. In: International conference on acoustics, speech, and signal processing, vol 2, pp 988–991

    Article  Google Scholar 

  9. Mahdiani HR, Ahmadi A, Fakhraie SM, Lucas C (2010) Bio-inspired imprecise computational blocks for Efficient VLSI Implementation of soft-computing applications. IEEE Trans Circuits Syst Regul Pap 57(4):850–862

    Article  MathSciNet  Google Scholar 

  10. Mazahir S, Hasan O, Hafiz R, Shafique M, Henkel J (2017) Probabilistic error modeling for approximate adders. IEEE Trans Comput 66(3):515–530

    Article  MathSciNet  Google Scholar 

  11. Miao J, He K, Gerstlauer A, Orshansky M (2012) Modeling and synthesis of quality-energy optimal approximate adders. In: Proceedings of the IEEE/ACM international conference on computer-aided design, pp 728–735

    Google Scholar 

  12. Oppenheim AV, Willsky AS (1997) Signals and systems. Prentice-Hall, New Jersey

    MATH  Google Scholar 

  13. Rehman S, El-Harouni W, Shafique M, Kumar A, Henkel J, Henkel J (2016) Architectural-space exploration of approximate multipliers. In: Proceedings of the IEEE/ACM international conference on computer-aided design, pp 1–8

    Google Scholar 

  14. Sengupta D, Sapatnekar SS (2015) FEMTO: fast error analysis in multipliers through topological traversal. In: Proceedings of the IEEE/ACM international conference on computer-aided design, pp 294–299

    Google Scholar 

  15. Sengupta D, Snigdha FS, Hu J, Sapatnekar SS (2017) SABER: selection of approximate bits for the design of error tolerant circuits. In: Proceedings of the ACM/EDAC/IEEE design automation conference, pp 72:1–72:6

    Google Scholar 

  16. Sengupta D, Snigdha FS, Hu J, Sapatnekar SS (2018) An analytical approach for error PMF characterization in approximate circuits. IEEE Trans Comput Aided Des Integr Circuits Syst. Preprint

    Google Scholar 

  17. Shafique M, Ahmad W, Hafiz R, Henkel J (2015) A low latency generic accuracy configurable adder. In: Proceedings of the ACM/EDAC/IEEE design automation conference, pp 86:1–86:6

    Google Scholar 

  18. Shafique M, Hafiz R, Rehman S, El-Harouni W, Henkel J (2016) Invited – cross-layer approximate computing: from logic to architectures. In: Proceedings of the ACM/EDAC/IEEE design automation conference, pp 99:1–99:6

    Google Scholar 

  19. Shanbhag NR, Abdallah RA, Kumar R, Jones DL (2010) Stochastic computation. In: Proceedings of the ACM/EDAC/IEEE design automation conference, pp 859–864

    Google Scholar 

  20. Shao B, Li P (2015) Array-based approximate arithmetic computing: a general model and applications to multiplier and squarer design. IEEE Trans Circuits Syst Regul Pap 62(4):1081–1090

    Article  MathSciNet  Google Scholar 

  21. Snigdha FS, Sengupta D, Hu J, Sapatnekar SS (2016) Optimal design of JPEG hardware under the approximate computing paradigm. In: Proceedings of the ACM/EDAC/IEEE design automation conference, pp 106:1–106:6

    Google Scholar 

  22. Springer MD (1979) The algebra of random variables. Wiley, New York

    MATH  Google Scholar 

  23. Stolfi J, de Figueiredo L (2003) An introduction to affine arithmetic. Trends Appl Comput Math 4(3):297–312

    MathSciNet  MATH  Google Scholar 

  24. Swartzlander E (1999) Truncated multiplication with approximate rounding. In: Proceedings of the Asilomar conference on signals, systems, and computers, vol 2, pp 1480–1483

    Google Scholar 

  25. Venkatesan R, Agarwal A, Roy K, Raghunathan A (2011) MACACO: modeling and analysis of circuits for approximate computing. In: Proceedings of the IEEE/ACM international conference on computer-aided design, pp 667–673

    Google Scholar 

  26. Wang Z, Bovik AC (2009) Mean squared error: love it or leave it? a new look at signal fidelity measures. IEEE Signal Process Mag 26(1):98–117

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Sachin S. Sapatnekar .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Sengupta, D., Hu, J., Sapatnekar, S.S. (2019). Error Analysis and Optimization in Approximate Arithmetic Circuits. In: Reda, S., Shafique, M. (eds) Approximate Circuits. Springer, Cham. https://doi.org/10.1007/978-3-319-99322-5_11

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-99322-5_11

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-99321-8

  • Online ISBN: 978-3-319-99322-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics