Skip to main content

Approximate Multi-Accelerator Tiled Architecture for Energy-Efficient Motion Estimation

  • Chapter
  • First Online:
Book cover Approximate Circuits

Abstract

Video processing applications are inherently error resilient. This resilience comes from the fact that: (1) inputs obtained are noisy and highly correlated in the spatial and temporal domains, (2) probabilistic computational algorithms in HEVC are inherently noise tolerant with error masking capabilities, and finally, (3) the visual perception of the final user is limited by various psychological and environmental factors. Considering these features, we analyze the complex multimode motion-estimation module in the latest High Efficiency Video Coding (HEVC) for employing heterogeneous approximations. This chapter presents a short overview of the HEVC motion estimator with an in-depth analysis of its computational complexity and energy consumption, followed by a full-system approximate architecture for the energy-efficient motion-estimation coprocessor.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 54.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Cisco (2017) The Zettabyte era: trends and analysis. https://www.cisco.com/c/en/us/solutions/collateral/service-provider/visual-networking-index-vni/vni-hyperconnectivity-wp.html

  2. El-Harouni W, Rehman S, Prabakaran BS, Kumar A, Hafiz R, Shafique M (2017) Embracing approximate computing for energy-efficient motion estimation in high efficiency video coding. In: Proceedings of the conference on design, automation & test in Europe

    Google Scholar 

  3. Grellert M, Shafique M, Khan MUK, Agostini L, Mattos JCB, Henkel J (2013) An adaptive workload management scheme for HEVC encoding. In: 20th IEEE international conference on image processing (ICIP)

    Google Scholar 

  4. Gupta V, Mohapatra D, Raghunathan A, Roy K (2013) Low-power digital signal processing using approximate adders. IEEE Trans Comput Aid Des Integr Circuits Syst 32:124–137

    Article  Google Scholar 

  5. Javaid H, Shafique M, Henkel J, Parameswaran S (2011) System-level application-aware dynamic power management in adaptive pipelined MPSoCS for multimedia. In: Proceedings of the international conference on computer-aided design. IEEE, Piscataway

    Google Scholar 

  6. Khan MUK, Shafique M, Grellert M, Henkel J (2013) Hardware-software collaborative complexity reduction scheme for the emerging HEVC intra encoder. In: Proceedings of the conference on design, automation and test in Europe

    Google Scholar 

  7. Khan MUK, Shafique M, Henkel J (2013) Amber: Adaptive energy management for on-chip hybrid video memories. In: Proceedings of the international conference on computer-aided design. IEEE, Piscataway

    Google Scholar 

  8. Khan MUK, Shafique M, Henkel J (2014) Software architecture of high efficiency video coding for many-core systems with power-efficient workload balancing. In: Proceedings of the conference on design, automation & test in Europe

    Google Scholar 

  9. Mohapatra D, Karakonstantis G, Roy K (2009) Significance driven computation: a voltage-scalable, variation-aware, quality-tuning motion estimator. In: Proceedings of the 2009 ACM/IEEE international symposium on Low power electronics and design. ACM, New York

    Google Scholar 

  10. Nepal K, Hashemi S, Tann H, Bahar RI, Reda S (2016) Automated high-level generation of low-power approximate computing circuits. IEEE Trans Emerg Topics Comput 4

    Google Scholar 

  11. Rehman S, El-Harouni W, Shafique M, Kumar A, Henkel J (2016) Architectural-space exploration of approximate multipliers. In: IEEE/ACM International conference on computer-aided design (ICCAD)

    Google Scholar 

  12. Sampaio F, Shafique M, Zatt B, Bampi S, Henkel J (2014) DSVM: energy-efficient distributed scratchpad video memory architecture for the next-generation high efficiency video coding. In: Design, automation and test in Europe conference and exhibition (DATE). IEEE, Piscataway

    Google Scholar 

  13. Schwarz H, Marpe D, Wiegand T (2007) Overview of the scalable video coding extension of the H. 264/AVC standard. IEEE Trans Circuits Syst Video Technol 17(9):1103–1120

    Article  Google Scholar 

  14. Shafique M, Bauer L, Henkel J (2010) enBudget: a run-time adaptive predictive energy-budgeting scheme for energy-aware motion estimation in H. 264/MPEG-4 AVC video encoder. In: Design, automation & test in Europe conference & exhibition (DATE), 2010. IEEE, Piscataway

    Google Scholar 

  15. Shafique M, Molkenthin B, Henkel J (2010) An HVS-based adaptive computational complexity reduction scheme for H. 264/AVC video encoder using prognostic early mode exclusion. In: Proceedings of the conference on design, automation and test in Europe

    Google Scholar 

  16. Sullivan GJ, Ohm JR, Han WJ, Wiegand T (2012) Overview of the high efficiency video coding (HEVC) standard. IEEE Trans Circuits Syst Video Technol 22(12):1649–1668

    Article  Google Scholar 

  17. Varatkar GV, Shanbhag NR (2006) Energy-efficient motion estimation using error-tolerance. In: Proceedings of the 2006 international symposium on low power electronics and design ISLPED’06. IEEE, Piscataway

    Google Scholar 

  18. Zatt B, Shafique M, Sampaio F, Agostini L, Bampi S, Henkel J (2011) Run-time adaptive energy-aware motion and disparity estimation in multiview video coding. In Proceedings of the 48th design automation conference. ACM, New York

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Bharath Srinivas Prabakaran .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Prabakaran, B.S., El-Harouni, W., Rehman, S., Shafique, M. (2019). Approximate Multi-Accelerator Tiled Architecture for Energy-Efficient Motion Estimation. In: Reda, S., Shafique, M. (eds) Approximate Circuits. Springer, Cham. https://doi.org/10.1007/978-3-319-99322-5_12

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-99322-5_12

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-99321-8

  • Online ISBN: 978-3-319-99322-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics