Skip to main content

Choice Predictor for Free

  • Conference paper

Part of the book series: Lecture Notes in Computer Science ((LNCS,volume 3189))

Abstract

Reducing energy consumption has become the first priority in designing microprocessors for all market segments including embedded, mobile, and high performance processors. The trend of state-of-the-art branch predictor designs such as a hybrid predictor continues to feature more and larger prediction tables, thereby exacerbating the energy consumption. In this paper, we present two novel profile-guided static prediction techniques— Static Correlation Choice (SCC) prediction and Static Choice (SC) prediction for alleviating the energy consumption without compromising performance. Using our techniques, the hardware choice predictor of a hybrid predictor can be completely eliminated from the processor and replaced with our off-line profiling schemes. Our simulation results show an average 40% power reduction compared to several hybrid predictors. In addition, an average 27% die area can be saved in the branch predictor hardware for other performance features.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Ball, T., Larus, J.R.: Branch Prediction for Free. In: PLDI-6 (1993)

    Google Scholar 

  2. Brooks, D., Tiwari, V., Martonosi, M.: Wattch: A Framework for Architectural- Level Power Analysis and Optimizations. ISCA-27 (June 2000)

    Google Scholar 

  3. Chang, P.-Y., Hao, E., Yeh, T.-Y., Patt, Y.N.: Branch Classification: a New Mechanism for Improving Branch Predictor Performance. International Journal of Parallel Programming 24(2), 133–158 (1999)

    Google Scholar 

  4. Chaver, D., Pinuel, L., Prieto, M., Tirado, F., Huang, M.C.: Branch Prediction on Demand: an Energy-Efficient Solution. In: Proceedings of the 2003 International Symposium on Low Power Electronics and Design (2003)

    Google Scholar 

  5. Intel Corporation. IA-64 Application Developer’s Architecture Guide. Intel Literature Centers (1999)

    Google Scholar 

  6. Fisher, J.A., Freudenberger, S.M.: Predicting Conditional Branch Directions From Previous Runs of a Program. In: ASPLOS-5, pp. 85–95 (1992)

    Google Scholar 

  7. Grunwald, D., Lindsay, D., Zorn, B.: Static Methods in Hybrid Branch Prediction. In: PACT 1998 (1998)

    Google Scholar 

  8. Henning, J.L.: SPEC CPU 2000: Measuring CPU Performance in the New Millennium. IEEE Micro (July 2000)

    Google Scholar 

  9. Kessler, R.E.: The ALPHA 21264 Microprocessor. IEEE Micro, March/April (1999)

    Google Scholar 

  10. Lee, H.-H.S., Fryman, J.B., Diril, A.U., Dhillon, Y.S.: The Elusive Metric for Low-Power Architecture Research. In: Workshop on Complexity-Effective Design (2003)

    Google Scholar 

  11. SimpleScalar LLC. SimpleScalar Toolkit version 3.0. http://www.simplescalar.com

  12. McFarling, S.: Combining Branch Predictors. Technical Report TN-36, Compaq Western Research Lab (1993)

    Google Scholar 

  13. Mulder, J.M., Quach, N.T., Flynn, M.J.: An Area Model for On-Chip Memories and its Application. IEEE JSSC 26(2) (February 1991)

    Google Scholar 

  14. Pan, S.-T., So, K., Rahmeh, J.T.: Improving the Accuracy of Dynamic Branch Prediction Using Branch Correlation. In: Proceedings of the 5th International Conference on Architectural Support for Programming Languages and Operating Systems (1992)

    Google Scholar 

  15. Smith, J.E.: A Study of Branch Prediction Strategies. In: ISCA-8 (1981)

    Google Scholar 

  16. Yeh, T.-Y., Patt, Y.N.: Two-Level Adaptive Training Branch Prediction. In: MICRO-24 (1991)

    Google Scholar 

  17. Young, C., Smith, M.D.: Static Correlated Branch Prediction. ACM TOPLAS (1999)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2004 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Ekpanyapong, M., Korkmaz, P., Lee, HH.S. (2004). Choice Predictor for Free. In: Yew, PC., Xue, J. (eds) Advances in Computer Systems Architecture. ACSAC 2004. Lecture Notes in Computer Science, vol 3189. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-30102-8_34

Download citation

  • DOI: https://doi.org/10.1007/978-3-540-30102-8_34

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-23003-8

  • Online ISBN: 978-3-540-30102-8

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics