Skip to main content

Dynamic Fetch Engine for Simultaneous Multithreaded Processors

  • Conference paper
  • 358 Accesses

Part of the book series: Lecture Notes in Computer Science ((LNCS,volume 3189))

Abstract

While the fetch unit has been identified as one of the major bottle-necks of Simultaneous Multithreading architecture, several fetch schemes were proposed by prior works to enhance the fetching efficiency. Among these schemes, ICOUNT, proposed by Tullsen et al. were considered to be a great scheme. The ICOUNT scheme works mainly because it favors the thread which fast moving through the pipeline, thus use the resource effectively. We think it is better letting the thread which tends to have more long latency instructions to get the priority at adequate time since long latency instructions are very likely on program’s critical path. We proposed a dynamic fetch scheme which gives the long latency bound thread higher priority while the RUU or LSQ is under low usage. Our motivation is to gain further performance by not only use the resource effectively but also by the urgency of the instructions.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Dorai, G., Yeung, D.: Transparent threads: resource sharing in SMT processors for high single-thread performance. In: 2002 International Conference on Parallel Architectures and Compilation Techniques (PACT 2002), September 22-25 (2002)

    Google Scholar 

  2. Eggers, S., Emer, J., Levy, H., Lo, J., Stamm, R., Tullsen, D.: Simultaneous multi-threading: A platform for next-generation processors. Technical Report TR-97-04-02, Uni¬versity of Washington, Department of Computer Science and Engineering (April 1997)

    Google Scholar 

  3. El-Moursy, A., Albonesi, D.: Front-end policies for improved issue efficiency in SMT processors. In: 9th International Symposium on High-Performance Computer Architecture, February 2003, pp. 31–40 (2003)

    Google Scholar 

  4. Hirata, H., Kimura, K., Nagamine, S., Mochizuki, Y., Nishimura, A., Nakase, Y., Nishi-zawa, T.: An elementary processor architecture with simultaneous instruction issuing from multiple threads. In: 19th Annual International Symposium on Computer Architecture, May 1992, pp. 136–145 (1992)

    Google Scholar 

  5. Knijnenburg, P.M.W., Ramirez, A., Latorre, F., Larriba, J., Valero, M.: Branch classification to control instruction fetch in simultaneous multithreaded architectures. In: International Workshop on Innovative Architecture for Future Generation High-Performance Processors and Systems (IWIA 2002), January 10-11 (2002)

    Google Scholar 

  6. Lo, J., Eggers, S., Emer, J., Levy, H., Stamm, R., Tullsen, D.: Converting thread-level parallelism into instruction-Level parallelism via simultaneous multithreading. In: ACM Transactions on Computer Systems, August 1997, pp. 322–354 (1997)

    Google Scholar 

  7. Luo, K., Franklin, M., Mukherjee, S., Sezne, A.: Boosting SMT performance by specula¬tion control. In: 15th Proceedings of International Parallel and Distributed Processing Symposium, IPDPS (2001)

    Google Scholar 

  8. Madon, D., Sanchez, E., Monnier, S.: A Study of a Simultaneous Multithreaded Architecture. In: Amestoy, P.R., Berger, P., Daydé, M., Duff, I.S., Frayssé, V., Giraud, L., Ruiz, D. (eds.) Euro-Par 1999. LNCS, vol. 1685, pp. 716–726. Springer, Heidelberg (1999)

    Chapter  Google Scholar 

  9. Marr, D., Binns, F., Hill, D., Hinton, G., Koufaty, D., Miller, J., Upton, M.: Hyper-threading technology architecture and microarchitecture. Intel Technology Journal, 4–15 (February 2002)

    Google Scholar 

  10. Tullsen, D., Eggers, S., Emer, J., Levy, H., Lo, J., Stamm, R.: Exploiting choice: Instruction fetch and issue on an implementable simultaneous multithreading processor. In: 23rd Annul International Symposium on Computer Architecture (May 1996)

    Google Scholar 

  11. Tullsen, D., Brown, J.: Handling long-latency loads in a simultaneous multithreading processor. In: 34th Annual International Symposium on Microarchitecture (December 2001)

    Google Scholar 

  12. Tullsen, D., Eggers, S., Levy, H.: Simultaneous multithreading: Maximizing on-chip parallelism. In: 22nd Annul International Symposium on Computer Architecture (June 1995)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2004 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Yang, TR., Shieh, JJ. (2004). Dynamic Fetch Engine for Simultaneous Multithreaded Processors. In: Yew, PC., Xue, J. (eds) Advances in Computer Systems Architecture. ACSAC 2004. Lecture Notes in Computer Science, vol 3189. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-30102-8_41

Download citation

  • DOI: https://doi.org/10.1007/978-3-540-30102-8_41

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-23003-8

  • Online ISBN: 978-3-540-30102-8

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics