Skip to main content

Automatically Customising VLIW Architectures with Coarse Grained Application-Specific Functional Units

  • Conference paper
Book cover Software and Compilers for Embedded Systems (SCOPES 2004)

Part of the book series: Lecture Notes in Computer Science ((LNCS,volume 3199))

Included in the following conference series:

Abstract

Instruction Level Parallelism (ILP) machines, such as Very Long Instruction Word (VLIW) architectures, and customised architectures are two para-digms that are used to increase the performance of processors. While a VLIW machine has multiple functional units, a customised processor is equipped with Application-specific Functional Units (AFUs). Customisation has been proved beneficial on single issue machines, but its effect on multiple issue machines remains unanswered. Is a VLIW machine powerful enough to nullify the benefit of customisation? Or are the two benefits orthogonal and can be exploited together? In this paper, we answer positively to the latter question. We experimentally prove that insertion of automatically identified AFUs can improve performance of a VLIW architecture, and allow the designer of ILP processor to trade-off either issue-width or register file size. We have customised the Trimaran architecture and toolchain framework to model AFUs accurately and discuss the challenges of adding instruction-set extension support to a legacy toolchain.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Atasu, K., Pozzi, L., Ienne, P.: Automatic application-specific instruction-set extensions under microarchitectural constraints. In: Proceedings of the 40th Design Automation Conference, Anaheim, Calif, June 2003, pp. 256–261 (2003)

    Google Scholar 

  2. Baleani, M., Gennari, F., Jiang, Y., Patel, Y., Brayton, R.K., Sangiovanni- Vincentelli, A.: HW/SW partitioning and code generation of embedded control applications on a reconfigurable architecture platform. In: Proceedings of the 10th International Workshop on Hardware/Software Codesign, Estes Park, Colo., pp. 151–156 (May 2002)

    Google Scholar 

  3. Campi, F., Canegallo, R., Guerrieri, R.: IP-reusable 32-bit VLIW Risc core. In: Proceedings of the European Solid State Circuits Conference, Villach, Austria, pp. 456–459 (September 2001)

    Google Scholar 

  4. Clark, N., Zhong, H., Mahlke, S.: Processor acceleration through automated instruction set customisation. In: Proceedings of the 36th Annual International Symposium on Microarchitecture, San Diego, Calif. (December 2003)

    Google Scholar 

  5. Design, F.: Art designer reference manual (April 2001)

    Google Scholar 

  6. Eyre, J., Bier, J.: Infineon targets 3G with Carmel 2000. Microprocessor Report, July 17 (2000)

    Google Scholar 

  7. Faraboschi, P., Brown, G., Fisher, J.A., Desoli, G., Homewood, F.: Lx: A technology platform for customizable VLIW embedded processing. In: Proceedings of the 27th Annual International Symposium on Computer Architecture, Vancouver, June 2000, pp. 203–213 (2000)

    Google Scholar 

  8. Halfhill, T.R.: ARC Cores encourages ”plug-ins”. Microprocessor Report, June 19 (2000)

    Google Scholar 

  9. Halfhill, T.R.: EEMBC releases first benchmarks. Microprocessor Report, May 1 (2000)

    Google Scholar 

  10. Halfhill, T.R.: MIPS embraces configurable technology. Microprocessor Report, March 3 (2003)

    Google Scholar 

  11. Huang, I.-J., Despain, A.M.: Generating instruction sets and microarchitectures from applications. In: Proceedings of the International Conference on Computer Aided Design, San Jose, Calif., November 1994, pp. 391–396 (1994)

    Google Scholar 

  12. Ienne, P., Pozzi, L., Vuletic, M.: On the limits of processor specialisation by mapping data ow sections on ad-hoc functional units. Technical Report CS Technical Report 01/376, LAP, EPFL, Lausanne (December 2001)

    Google Scholar 

  13. Kathail, V., Aditya, S., Schreiber, R., Rau, B.R., Cronquist, D.C., Sivaraman, M.: Pico: Automatically designing custom computers. Computer 35(9), 39–47 (2002)

    Article  Google Scholar 

  14. La Rosa, A., Lavagno, L., Passerone, C.: A software development tool chain for a reconfigurable processor. In: Proceedings of the International Conference on Compilers, Architectures, and Synthesis for Embedded Systems, Atlanta, Ga., November 2001, pp. 93–98 (2001)

    Google Scholar 

  15. Lee, C., Potkonjak, M., Mangione-Smith, W.H.: MediaBench: A tool for evaluating and synthesizing multimedia and communicatons systems. In: Proceedings of the 30th Annual International Symposium on Microarchitecture, Research Triangle Park, N.C., pp. 330–335, (December 1997)

    Google Scholar 

  16. Middha, B., Raj, V., Gangwar, A., Kumar, A., Balakrishnan, M., Ienne, P.: A Trimaran based framework for exploring the design space of VLIW ASIPs with coarse grain functional units. In: Proceedings of the 15th International Symposium on System Synthesis, Kyoto, pp. 2–7 (October 2002)

    Google Scholar 

  17. Nene, A., Talla, S., Goldberg, B., Kim, H., Rabbah, R.M.: Trimaran—An Infrastructure for Compiler Research in Instruction Level Parallelism. New York University (1998)

    Google Scholar 

  18. Palem, K.V., Talla, S.: Adaptive Explicitly Parallel Instruction Computing. In: Proceedings of the 4th Australasian Computer Architecture Conference, Auckland, New Zealand (January 1999)

    Google Scholar 

  19. Sun, F., Ravi, S., Raghunathan, A., Jha, N.K.: Synthesis of custom processors based on extensible platforms. In: Proceedings of the International Conference on Computer Aided Design, San Jose, Calif., pp. 641–648 (November 2002)

    Google Scholar 

  20. Van Praet, J., Goossens, G., Lanneer, D., De Man, H.: Instruction set definition and instruction selection for ASIPs. In: Proceedings of the 7th International Symposium on High-Level Synthesis, Niagara-on-the-Lake, Ont., pp. 11–16 (April 1994)

    Google Scholar 

  21. Wang, A., Killian, E., Maydan, D., Rowen, C.: Hardware/software instruction set configurability for system-on-chip processors. In: Proceedings of the 38th Design Automation Conference, Las Vegas, Nev., pp. 184–188 (June 2001)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2004 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Jain, D., Kumar, A., Pozzi, L., Ienne, P. (2004). Automatically Customising VLIW Architectures with Coarse Grained Application-Specific Functional Units. In: Schepers, H. (eds) Software and Compilers for Embedded Systems. SCOPES 2004. Lecture Notes in Computer Science, vol 3199. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-30113-4_3

Download citation

  • DOI: https://doi.org/10.1007/978-3-540-30113-4_3

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-23035-9

  • Online ISBN: 978-3-540-30113-4

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics