Skip to main content

Multi-threaded Microprocessors – Evolution or Revolution

  • Conference paper
Book cover Advances in Computer Systems Architecture (ACSAC 2003)

Part of the book series: Lecture Notes in Computer Science ((LNCS,volume 2823))

Included in the following conference series:

Abstract

Threading in microprocessors is not new, the earliest threaded processor design was implemented in the late 1970s and yet only now is it being used in mainstream microprocessor architecture. This paper reviews threaded microprocessors and explains why the more popular option of out-of-order execution has a poor future and is not likely to provide a pathway for future microprocessor scalability. The first mainstream threaded architectures are beginning to emerge but unfortunately based on out-of-order execution. This paper will review the relevant trends in multi-threaded microprocessor design and look at one approach in detail, showing how wide instruction issue can be achieved and how it can provide excellent performance, latency tolerance and above all scalability with issue width. This model exploits ILP and loop level parallelism using a vector-like instruction set in a chip multiprocessor.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Tseng, J., Asanovic, K.: Banked multiported register files for highfrequency superscalar microprocessors. To appear, 30th International Symposium on Computer Architecture (ISCA-30), San Diego, CA (June 2003), http://www.cag.lcs.mit.edu/scale/papers/bankedreg-isca2003.pdf

  2. Skadron, K., Ahuja, P.S., Martonosi, M., Clark, D.W.: Branch prediction, instructionwindow size and simulation techniques. IEEE Trans. Comput. 48(11), 1260–1281 (1999)

    Article  Google Scholar 

  3. Wall, D.W.: Limits of Instruction-Level Parallelism. Technical Report 93/6, Digital Western Research Laboratory (November 1993)

    Google Scholar 

  4. Kessler, R.E., McLellan, E.J., Webb, D.A.: The Alpha 21264 Microprocessor Architecture. In: 1998 International Conference on Computer Design, October 1998, pp. 24–36 (1998)

    Google Scholar 

  5. Agarwal, V., Murukkathampoondi, H.S., Keckler, S.W., Burger, D.C.: Clock rate versus IPC: The end of the road for conventional microarchictectures. In: Proc. 27th International Symposium on Computer Architecture (ISCA) (June 2000)

    Google Scholar 

  6. Peterson, R.P., et al.: Design of an 8-wide superscalar RISC microprocessor with simultaneous multithreading, ISSCDigest and Visuals supplement (2002)

    Google Scholar 

  7. Wittamayar, W.R.: Array processor provides high throughput rates. Comput. Design 17(3), 93–100 (1978)

    Google Scholar 

  8. Intel, Intel IA64 Architecture Software Developer’s Manual, vol. 1-4 (2000)

    Google Scholar 

  9. Tullsen, D.M., Eggers, S.J., Levy, H.M.: Simultaneous Multithreading: Maximizing On-Chip Parallelism. In: ISCA 1995, pp. 392–403 (1995)

    Google Scholar 

  10. Papadopoulos, G.M., Traub, K.R.: Multi-threading: a revisionist view of dataflow architecture, Computations Structures Group memo 330, March 1991, MIT (1991)

    Google Scholar 

  11. Sankaralingam, K., Nagarajan, R., Liu, H., Kim, C., Huh, J., Burger, D., Keckler, S.W., Moore, C.R.: Exploiting ILP, TLP, and DLP with the Polymorphous TRIPS Architecture. To appear Proc. ISCA 2003, San Diago (June 2003)

    Google Scholar 

  12. Bolychevsky, A., Jesshope, C.R., Muchnick, V.B.: Dynamic scheduling in RISC architectures. IEE Trans. E, Computers and Digital Techniques 143, 309–317 (1996)

    Article  Google Scholar 

  13. Smith, B.J.: A pipelined shared-resource MIMD computer. In: IEEE Proc. 1978 Intl. Conf. on Parallel processing, pp. 6–8 (1978)

    Google Scholar 

  14. Thistle, M., Smith, B.J.: A processor architecturefor Horizon. In: Proceedings of the Supercomputing Conference, Orlando, FL, pp. 35–41 (1988)

    Google Scholar 

  15. Alverson, R., Callahan, D., Cummings, D., Koblenz, B., Porterfield, A., Smith, B.J.: The Tera computer system. In: Proceedings of the 4th International Conference on Supercomputing, Amsterdam, The Netherlands, pp. 1–6 (1990)

    Google Scholar 

  16. German, R., GIiampapa, M., Gresh, D., GUupta, M., Haring, R., Ho, H., Hochschild, P., Hummel, S., JOnas, T., Lieber, D., Martyna, G., Brinkschulte, U., Krakowski, C., Kreuzinger, J., Ungerer, T.: A multithreaded Java microcontroller for thread-oriented realtime event handling. In: Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, Newport Beach, CA, pp. 34–39 (1999)

    Google Scholar 

  17. Tremblay, M., Chan, J., Chaudhry, S., Conigliaro, A.W., Tse, S.S.: The MAJC architecture: a synthesis of parallelism and scalability. IEEE Micro 20(6), 12–25 (2000)

    Article  Google Scholar 

  18. Glaskowsky, P.N.: Network processors mature in 2001 Microproc. Report. February 19 (2002) (online journal)

    Google Scholar 

  19. Intel Corporation: Intel Internet exchange architecture network processors: flexible, wirespeed processing from the customer premises to the network core. White paper. Intel, Santa Clara, CA (2002)

    Google Scholar 

  20. IBM Corporation: IBM network processor, Product overview. IBM, Yorktown Heights, NY (1999)

    Google Scholar 

  21. Ungerer, T., Robic, B., Silc, J.: A survey of processors with explicit multithreading. ACM Computing Surveys (CSUR) 35(1), 29–63 (2003)

    Article  Google Scholar 

  22. Kavi, K.M., Levene, D.L., Hurson, A.R.: A non-blocking multithreaded architecture. In: Proceedings of the 5th International Conference on Advanced Computing, Madras, India, pp. 171–177 (1997)

    Google Scholar 

  23. Marcuello, P., Gonzales, A., Tubella, J.: Speculative multithreaded processors. In: Proceedings of the 12th International Conference on Supercomputing, Melbourne, Australia, pp. 77–84 (1998)

    Google Scholar 

  24. Gwennap, L.: DanSoft develops VLIW design, Microproc. Report 11, 2 (February 17), 18–22 (1997)

    Google Scholar 

  25. Jesshope, C.R.: Implementing an efficient vector instruction set in a chip multiprocessor using micro-threaded pipelines. In: Proc. ACSAC 2001, Australia Computer Science Communications, vol. 23(4), pp. 80–88. IEEE Computer Society, Los Alimitos (2001) ISBN 0-7695-0954-1

    Google Scholar 

  26. Luo, B., Jesshope, C.R.: Performance of a Micro-threaded Pipeline. In: Lai, F., Morris, J. (eds.) Proc. 7th Asia-Pacific conference on Computer systems architecture, 6, pp. 83–90. Australian Computer Society, Inc., Darlinghurst (2002) ISBN Ĩ ISSN:1445-1336 , 0-909925-84-4

    Google Scholar 

  27. Arvind, Thomas, R.E.: I-Structure: An Effective Data Structure for Functional Languages, MIT,LCS- TM178, Lab. for Computer Science, MIT (1978)

    Google Scholar 

  28. Bolychevsky, A.: The fundamental Issues and Construction of a Data-parallel Dataflow computer, Technical Report. Computer Systems Research Group, University of Surrey (1994)

    Google Scholar 

  29. Jesshope, C.R.: Programming with a high degree of parallelism in FORTRAN. Comp. Phys. Comm. 26, 237–246 (1982)

    Article  Google Scholar 

  30. Shafarenko, A.V.: Symmetries in data parallelism. Computer Journal 38, 365–378 (1995)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2003 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Jesshope, C. (2003). Multi-threaded Microprocessors – Evolution or Revolution. In: Omondi, A., Sedukhin, S. (eds) Advances in Computer Systems Architecture. ACSAC 2003. Lecture Notes in Computer Science, vol 2823. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-39864-6_4

Download citation

  • DOI: https://doi.org/10.1007/978-3-540-39864-6_4

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-20122-9

  • Online ISBN: 978-3-540-39864-6

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics