Skip to main content

Hardware Implementations of Real-Time Reconfigurable WSAT Variants

  • Conference paper
  • First Online:
Field Programmable Logic and Application (FPL 2003)

Part of the book series: Lecture Notes in Computer Science ((LNCS,volume 2778))

Included in the following conference series:

Abstract

Local search methods such as WSAT have proven to be successful for solving SAT problems. In this paper, we propose two host-FPGA (Field Programmable Gate Array) co-implementations, which use modified WSAT algorithms to solve SAT problems. Our implementations are reconfigurable in real-time for different problem instances. On an XCV1000 FPGA chip, SAT problems up to 100 variables and 220 clauses can be solved. The first implementation is based on a random strategy and achieves one flip per clock cycle through the use of pipelining. The second uses a greedy heuristic at the expense of FPGA space consumption, which precludes pipelining. Both of the two implementations avoid re-synthesis, placement, routing for different SAT problems, and show improved performance over previously published reconfigurable SAT implementations on FPGAs.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Selman, B., Kautz, H., Cohen, B.: Noise strategies for improving local search. In: Proc. National Conference on Artificial Intelligence, pp. 337–343 (1994)

    Google Scholar 

  2. McAllester, D., Selman, B., Kautz, H.: Evidence for invariants in local search. In: Proc. 14th National Conference on Artificial Intelligence (AAAI 1997) (1997)

    Google Scholar 

  3. Hamadi, Y., Merceron, D.: Reconfigurable architectures: A new vision for optimization problems. In: Principles and Practice of Constraint Programming, pp. 209–221 (1997)

    Google Scholar 

  4. Yung, W.H., Seung, Y.W., Lee, K.H., Leong, P.H.W.: A runtime reconfigurable implementation of the GSAT algorithm. In: Lysaght, P., Irvine, J., Hartenstein, R.W. (eds.) FPL 1999. LNCS, vol. 1673, pp. 526–531. Springer, Heidelberg (1999)

    Chapter  Google Scholar 

  5. Leong, P.H.W., Sham, C.W., Wong, W.C., Wong, H.Y., Yuen, W.S., Leong, M.P.: A bistream reconfigurable FPGA implementation of the WSAT algorithm. IEEE Trans. on Very Large Scale Integration (VLSI) Systems 9(1), 197–200 (2001)

    Article  Google Scholar 

  6. Henz, M., Tan, E., Yap, R.: One flip per clock cycle. In: Proc. of the 7th International Conference on Principles and Practice of Constraint Programming, pp. 509–523 (2001)

    Google Scholar 

  7. Abramovici, M., Sousa, A.: A SAT solver using reconfigurable hardware and virtual logic. Journal of Automated Reasoning 24(1/2), 5–36 (2000)

    Article  Google Scholar 

  8. Xilinx. Virtex 2.5 Field programmable gate arrays (1999)

    Google Scholar 

  9. Hoos, H., Stützle, T.: Local search algorithms for SAT: An empirical evaluation. Journal of Automated Reasoning 24, 421–481 (2000)

    Article  Google Scholar 

  10. Zhang, W., Huang, Z., Zhang, J.: Parallel Execution of Stochastic Search, Procedures on Reduced SAT Instances. In: Proc. of the Seventh Pacific Rim International Conference on Artificial Intelligence, pp. 108–117 (2002)

    Google Scholar 

  11. Kautz, H., Selman, B.: Walksat homepage, http://www.cs.washington.edu/homes/kautz/walksat/

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2003 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Yap, R.H.C., Wang, S.Z.Q., Henz, M.J. (2003). Hardware Implementations of Real-Time Reconfigurable WSAT Variants. In: Y. K. Cheung, P., Constantinides, G.A. (eds) Field Programmable Logic and Application. FPL 2003. Lecture Notes in Computer Science, vol 2778. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-45234-8_48

Download citation

  • DOI: https://doi.org/10.1007/978-3-540-45234-8_48

  • Published:

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-40822-2

  • Online ISBN: 978-3-540-45234-8

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics