Skip to main content

Systematic Customization of On-Chip Crossbar Interconnects

  • Conference paper
Reconfigurable Computing: Architectures, Tools and Applications (ARC 2007)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 4419))

Included in the following conference series:

Abstract

In this paper, we present a systematic design and implementation of reconfigurable interconnects on demand. The proposed on-chip interconnection network provides identical physical topologies to logical topologies for given applications. The network has been implemented with parameterized switches, dynamically multiplexed by a traffic controller. Considering practical media applications, a multiprocessor system combined with the presented network has been integrated and prototyped in Virtex-II Pro FPGA using the ESPAM design environment. The experiment shows that the network realizes on-demand traffic patterns, occupies on average 59% less area, and maintains performance comparable with a conventional crossbar.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Bjerregaard, T., Mahadevan, S.: A Survey of Research and Practices of Network-on-chip. ACM Computing Surveys 38(1), 1–51 (2006)

    Article  Google Scholar 

  2. Bertozzi, D., et al.: NoC Synthesis Flow for Customized Domain Specific Multiprocessor Systems-on-Chip. IEEE Transactions on Parallel and Distributed Systems 16(2), 113–129 (2005)

    Article  Google Scholar 

  3. Nikolov, H., Stefanov, T., Deprettere, E.: Efficient Automated Synthesis, Programming, and Implementation of Multi-processor Platforms on FPGA Chips. In: Proceedings of 16th International Conference on Field Programmable Logic and Applications (FPL’06), Aug. 2006, pp. 323–328 (2006)

    Google Scholar 

  4. Nikolov, H., Stefanov, T., Deprettere, E.: Multi-processor System Design with ESPAM. In: Proceedings of 4th IEEE/ACM/IFIP International Conference on HW/SW Codesign and System Synthesis (CODES-ISSS’06), Oct. 2006, pp. 211–216. IEEE, Los Alamitos (2006)

    Google Scholar 

  5. Vassiliadis, S., Sourdis, I.: FLUX Networks: Interconnects on Demand. In: Proceedings of International Conference on Computer Systems Architectures Modelling and Simulation (IC-SAMOS’06), Jul. 2006, pp. 160–167 (2006)

    Google Scholar 

  6. Moraes, F., et al.: HERMES: an Infrastructure for Low Area Overhead Packet-switching Netwoks on Chip. Integration, the VLSI Journal 38(1), 69–93 (2004)

    Article  Google Scholar 

  7. Marescaux, T., et al.: Run-time Support for Heterogeneous Multitasking on Reconfigurable SoCs. Integration, the VLSI Journal 38(1), 107–130 (2004)

    Article  Google Scholar 

  8. Sethuraman, B., et al.: LiPaR: A Light-weight Parallel Router for FPGA-based Networks-on-Chip. In: Proceedings of the Great Lakes Symposium on VLSI (GLSVLSI’05), Apr. 2005, pp. 452–457 (2005)

    Google Scholar 

  9. Bartic, T.A., et al.: Topology adaptive network-on-chip design and implementation. IEE Proceedings of Computers & Digital Techniques 152(4), 467–472 (2005)

    Article  Google Scholar 

  10. Kapre, N., et al.: Packet Switched vs Time Multiplexed FPGA Overlay Networks. In: Proceedings of IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM’06), Apr. 2006, pp. 205–216. IEEE Computer Society Press, Los Alamitos (2006)

    Chapter  Google Scholar 

  11. Hilton, C., Nelson, B.: PNoC: A flexible circuit-switched NoC for FPGA-based systems. IEE Proceedings of Computers & Digital Techniques 153(3), 181–188 (2006)

    Article  Google Scholar 

  12. Srinivasan, K., Chatha, K.S.: A Low Complexity Heuristic for Design of Custom Network-on-chip Architectures. In: Proceedings of International Conference on Design, Automation and Test in Europe (DATE’06), Mar. 2005, pp. 130–135 (2005)

    Google Scholar 

  13. Murali, S., Micheli, G.D.: An Application-specific Design Methodology for STbus Crossbar Generation. In: Proceedings of International Conference on Design, Automation and Test in Europe (DATE’05), Feb. 2005, pp. 1176–1181 (2005)

    Google Scholar 

  14. Loghi, M., et al.: Analyzing On-Chip Communication in a MPSoC Environment. In: Proceedings of International Conference on Design, Automation and Test in Europe (DATE’04), Feb. 2004, pp. 752–757 (2004)

    Google Scholar 

  15. Sekar, K., et al.: FLEXBUS: A High-Performance System-on-Chip Communication Architecture with a Dynamically Configurable Topology. In: Proceedings of 42th International Conference on Design Automation Conference (DAC’05), Jun. 2005, pp. 571–574 (2005)

    Google Scholar 

  16. Huebner, M., et al.: Scalable Application-Dependent Network on Chip Adaptivity for Dynamical Reconfigurable Real-Time Systems. In: Becker, J., Platzner, M., Vernalde, S. (eds.) FPL 2004. LNCS, vol. 3203, pp. 1037–1041. Springer, Heidelberg (2004)

    Google Scholar 

  17. Kienhuis, B., Rijpkema, E., Deprettere, E.: Compaan: Deriving Process Networks from Matlab for Embedded Signal Processing Architectures. In: Proceedings of 8th International Workshop on Hardware/Software Codesign (CODES’2000), May 2000, pp. 13–17 (2000)

    Google Scholar 

  18. Hu, J., Marculescu, R.: Energy-Aware Mapping for Tile-based NoC Architectures Under Performance Constraints. In: Proceedings of the 8th Asia and South Pacific Design Automation Conference (ASP-DAC’03), Jan. 2003, pp. 233–239 (2003)

    Google Scholar 

  19. Lahiri, K., et al.: Design of High-Performance System-On-Chips Using Communication Architecture Tuners. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 23(5), 620–636 (2004)

    Article  Google Scholar 

  20. Murali, S., Micheli, G.D.: Bandwidth-Constrained Mapping of Cores onto NoC Architectures. In: Proceedings of International Conference on Design, Automation and Test in Europe (DATE’04), Feb. 2004, pp. 896–901 (2004)

    Google Scholar 

  21. Alpha Data Parallel Systems, Ltd., http://www.alpha-data.com/adm-xpl.html

Download references

Author information

Authors and Affiliations

Authors

Editor information

Pedro C. Diniz Eduardo Marques Koen Bertels Marcio Merino Fernandes João M. P. Cardoso

Rights and permissions

Reprints and permissions

Copyright information

© 2007 Springer Berlin Heidelberg

About this paper

Cite this paper

Hur, J.Y., Stefanov, T., Wong, S., Vassiliadis, S. (2007). Systematic Customization of On-Chip Crossbar Interconnects. In: Diniz, P.C., Marques, E., Bertels, K., Fernandes, M.M., Cardoso, J.M.P. (eds) Reconfigurable Computing: Architectures, Tools and Applications. ARC 2007. Lecture Notes in Computer Science, vol 4419. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-71431-6_6

Download citation

  • DOI: https://doi.org/10.1007/978-3-540-71431-6_6

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-71430-9

  • Online ISBN: 978-3-540-71431-6

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics