Skip to main content

Logic Style Comparison for Ultra Low Power Operation in 65nm Technology

  • Conference paper

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 4644))

Abstract

Design considerations for ultra low power circuits are presented through a study of circuit families operating at ultra low supply voltages. We examine static CMOS logic versus pass-transistor logic to determine which logic style is best suited for ultra-low power design. Furthermore, in this work we present a modification to Complementary Pass-gate Logic which improves its operation in ultra low power conditions. The operation of this modified CPL (MTCPL), in ultra low supply voltage conditions is compared to CMOS+, Dual Value Pass transistor Logic, and static CMOS in the same environment. The results show that although CMOS+ demonstrates the best energy delay characteristics for ultra low-power design, MTCPL yields the best energy at low data activities.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Marcovic, D., Nikolic, B., Oklobdzija, V.G.: A general method in synthesis of pass-transistor circuits. Elsevier Microelectronics Journal 31, 991–998 (2000)

    Google Scholar 

  2. Zimmermann, R., Fichtner, W.: Low-power logic styles: CMOS versus pass-transistor logic, Solid-State Circuits. IEEE Journal 32(7), 1079–1090 (1997)

    Google Scholar 

  3. Shams, A.M., Darwish, T.K.: Performance Analysis of 1-Bit CMOS Full Adder Cells. IEEE Trans. On Very Large Scale Integration (VLSI) Systems 10(1) (2002)

    Google Scholar 

  4. Shalem, R., John, E., John, L.K.: A novel low power energy recovery full adder cell. In: VLSI 1999 Proceedings. Ninth Great Lakes Symposium (4-6 March, 1999)

    Google Scholar 

  5. Radhakrishnan, D.: Low Voltage, low power CMOS full adder. IEE Proc-Circuits Devices Syst. 148(1) (February 2001)

    Google Scholar 

  6. Vratonjic, M., Zeydel, B.R., Oklobdzija, V.G.: Circuit Sizing and Supply-Voltage Selection for Low-Power Digital Circuit Design. In: Vounckx, J., Azemard, N., Maurine, P. (eds.) PATMOS 2006. LNCS, vol. 4148, pp. 148–156. Springer, Heidelberg (2006)

    Google Scholar 

  7. Dao, H.Q., Zeydel, B.R., Oklobdzija, V.G.: Energy Optimization of Pipelined Digital Systems Using Circuit Sizing and Supply Scaling. IEEE Transaction on VLSI Systems 14(2), 122–134 (2006)

    Article  Google Scholar 

  8. Kao, J., Chandraksan, A.: MTCMOS Sequential Circuits. ESSCIRC (September 2001)

    Google Scholar 

  9. Song, M., Asada, K.: Desing of Low Power Digital VLSI Circuits Based on Novel Pass transistor logic. IEICE Trans. Electron. E81-C(11) (November 1998)

    Google Scholar 

  10. Lindert, N., Sugii, T.: Dynamic Threshold Pass Transistor Logic for Improved delay at Low power supply voltages. IEEE JSSC 34(1) (January 1999)

    Google Scholar 

  11. Calhoun, B.H., Honoré, F.A, Chandrakasan, A.P.: A Leakage reduction methodology for Distributed MTCMOS. IEEE JSSC 39(5) (May 2004)

    Google Scholar 

  12. Bui, H.T., Wang, Y., Jiang, Y.: Design and Analysis of Low Power 10 Transistor Full Adders Using Novel XOR XNOR gates. IEEE Transactions on Circuits and Systems – II 49(1) (January 2002)

    Google Scholar 

  13. Wang, A., Chandrakasan, A.: A 180mV FFT Processor Using Subthreshold Circuit Techniques. In: Proceeds, IEEE International Solid State Circuits Conference (2004)

    Google Scholar 

  14. Calhoun, B.H., Wang, A., Chandrakasan, A.P.: Device Sizing for Minimum Energy Operation in Subthreshold Circuits. In: IEEE Custom Integrated Circuits Conference (CICC), October 2004, pp. 95–98 (2004)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Nadine Azémard Lars Svensson

Rights and permissions

Reprints and permissions

Copyright information

© 2007 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Singh, M., Giacomotto, C., Zeydel, B., Oklobdzija, V. (2007). Logic Style Comparison for Ultra Low Power Operation in 65nm Technology. In: Azémard, N., Svensson, L. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation. PATMOS 2007. Lecture Notes in Computer Science, vol 4644. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-74442-9_18

Download citation

  • DOI: https://doi.org/10.1007/978-3-540-74442-9_18

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-74441-2

  • Online ISBN: 978-3-540-74442-9

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics