Skip to main content

A Novel Crosstalk Estimator after Placement

  • Conference paper
  • 887 Accesses

Part of the book series: Communications in Computer and Information Science ((CCIS,volume 6))

Abstract

In this paper, we propose a probabilistic method to estimate intra-grid wirelength of nets after placement or global routing. Results of incorporating this method in the previous probabilistic coupling capacitance and crosstalk estimation scheme show its efficiency in detecting noisy nets before having detailed information of wire adjacency. Our general method improved the number of correctly detected noisy nets by 15% on average. In a second improvement, the directed version of this method increased the number of correct detections by 19% and decreased the number of false detections.

This work is supported by Iran Telecommunications Research Center (ITRC).

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Ren, H., Pan, D.Z., Villarrubia, G.: True Crosstalk Aware Incremental Placement with Noise Map. In: IEEE/ACM International Conference on Computer Aided Design, pp. 402–409 (2004)

    Google Scholar 

  2. Wu, D., Hu, J., Mahapatra, R.: Coupling Aware Timing Optimization and Antenna Avoidance in Layer Assignment. In: International Symposium on Physical Design, pp. 20–27 (2005)

    Google Scholar 

  3. Cong, J., Pan, D.Z., Srinivas, P.V.: Improved Crosstalk Modeling for Noise Constrained Interconnect Optimization. In: Asia and South Pacific Design Automation Conference, pp. 373–378 (2001)

    Google Scholar 

  4. Brenner, U., Rohe, A.: An effective congestion driven placement framework. In: International Symposium on Physical Design, pp. 6–11 (2002)

    Google Scholar 

  5. Caldwell, E., Kahng, A.B., Markov, I.L.: Can recursive bisection alone produce routable placements? In: Design Automation Conference, pp. 477–482 (2000)

    Google Scholar 

  6. Yang, X., Choi, B.-K., Sarrafzadeh, M.: Routability-Driven White Space Allocation for Fixed-Die Standard-Cell Placement. IEEE Transactions on Computer Aided Design of Integrated Circuits 22, 410–419 (2003)

    Article  Google Scholar 

  7. Ho, T.Y., Chang, Y., Chen, S., Lee, D.: Crosstalk- and Performance-Driven Multi-level Full-Chip Routing. IEEE Transactions on Computer Aided Design of Integrated Circuits 24, 869–878 (2005)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2008 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Mehdizadeh, A., Saheb Zamani, M. (2008). A Novel Crosstalk Estimator after Placement. In: Sarbazi-Azad, H., Parhami, B., Miremadi, SG., Hessabi, S. (eds) Advances in Computer Science and Engineering. CSICC 2008. Communications in Computer and Information Science, vol 6. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-89985-3_137

Download citation

  • DOI: https://doi.org/10.1007/978-3-540-89985-3_137

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-89984-6

  • Online ISBN: 978-3-540-89985-3

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics