Skip to main content

Vectorized AES Core for High-throughput Secure Environments

  • Conference paper

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 5336))

Abstract

Parallelism has long been used to increase the throughput of applications that process independent data. With the advent of multicore technology designers and programmers are increasingly forced to think in parallel. In this paper we present the evaluation of an encryption core capable of handling multiple data streams. The design is oriented towards future scenarios for internet, where throughput capacity requirements together with privacy and integrity will be critical for both personal and corporate users. To power such scenarios we present a technique that increases the efficiency of memory bandwidth utilization of cryptographic cores. We propose to feed cryptographic engines with multiple streams to better exploit the available bandwidth. To validate our claims, we have developed an AES core capable of encrypting two streams in parallel using either ECB or CBC modes. Our AES core implementation consumes trivial amount of resources when a Virtex-II Pro FPGA device is targeted.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Page, D., Smart, N.P.: Parallel cryptography arithmetic using a redundant montgomery representation 53, 1474–1482 (2004)

    Google Scholar 

  2. Crandall, R., Klivington, J.: Vector implementation of multiprecision arithmetic. Technical report, Apple Computer Inc (1999)

    Google Scholar 

  3. Bhaskar, R., Dubey, P.K., Kumar, V., Rudra, A.: Efficient Glois Field Arithmetic on SIMD Architectures. In: Proc. of the 15th Annual ACM Symp. on Parallel Algorithms and Architectures, pp. 256–257 (June 2003)

    Google Scholar 

  4. Dixon, B., Lenstra, A.K.: Massively Parallel Elliptic Curve Factoring. In: Proc. of the Workshop on the Theory and Application of of Cryptographic Techniques, pp. 183–193 (1992)

    Google Scholar 

  5. Vassiliadis, S., Wong, S., Gaydadjiev, G., Bertels, K., Kuzmanov, G., Panainte, E.M.: The MOLEN Polymorphic Processor 53(11), 1363–1375 (November 2004)

    Google Scholar 

  6. Vassiliadis, S., Gaydadjiev, G.N., Bertels, K., Panainte, E.M.: The Molen Programming Paradigm. In: Proceedings of the Third International Workshop on Systems, Architectures, Modeling, and Simulation, pp. 1–10 (July 2003)

    Google Scholar 

  7. Chaves, R., Kuzmanov, G., Vassiliadis, S., Sousa, L.: Reconfigurable Memory Based AES Co-Processor. In: Proc. of the 13th Reconfigurable Architectures Workshop, IPDPS (January 2006)

    Google Scholar 

  8. Kuzmanov, G., Gaydadjiev, G.N., Vassiliadis, S.: The MOLEN Processor Prototype. In: Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2004), pp. 296–299 (April 2004)

    Google Scholar 

  9. HTX Electrical and Operational Profile. Technical report, The HyperTransport Consortium

    Google Scholar 

  10. HyperTransport HTX: Extending Hypertransport Interconnect Leadership. Presentation, The HyperTransport Consortium (2007)

    Google Scholar 

  11. PCI-X 2.0 Overview. Presentation, PCI SIG

    Google Scholar 

  12. PCI-SIG - PCI Express Base 2.0 Specification. Technical report, PCI SIG

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2008 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Pericàs, M., Chaves, R., Gaydadjiev, G.N., Vassiliadis, S., Valero, M. (2008). Vectorized AES Core for High-throughput Secure Environments. In: Palma, J.M.L.M., Amestoy, P.R., Daydé, M., Mattoso, M., Lopes, J.C. (eds) High Performance Computing for Computational Science - VECPAR 2008. VECPAR 2008. Lecture Notes in Computer Science, vol 5336. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-92859-1_10

Download citation

  • DOI: https://doi.org/10.1007/978-3-540-92859-1_10

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-92858-4

  • Online ISBN: 978-3-540-92859-1

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics