Skip to main content

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 5349))

  • 1400 Accesses

Abstract

The exploitation of reconfigurable architectures is currently increasing for high-performance applications e.g. signal processing systems. Until now however, general purpose processors are typically applied for lowpower applications partly due to the un-optimized design process of FPGA systems. Currently, the increasing requirements even on low-power applications force the investigation of alternative architectures such as FPGAs to enable higher flexibility for such applications. This paper presents a multi-level overview of power optimization for FPGA-based systems. Several novel design considerations for power reduction are described and discussed as well as the achieved results. The main objective of the presented work is to enable the flexibility of reconfigurable architectures even for low-power applications.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Paulsson, K., Hübner, M., Becker, J.: On-Line Optimization of FPGA Power- Dissipation by Exploiting Run-time Adaption of Communication Primitives. In: SBCCI 2006, Brazil (2006)

    Google Scholar 

  2. Paulsson, K., Huebner, M., Becker, J.: Cost - and Power Optimized FPGA Based System Integration: Methodologies and Integration of a Low-Power Capacity- Based Measurement Application on Xilinx FPGA. In: DATE 2008, Munich, Germany (2008)

    Google Scholar 

  3. IBM; On-Chip Peripheral Bus, Architecture Specifications (2001)

    Google Scholar 

  4. Shang, L., Kaviani, S.A.S., Bathala, K.: Dynamic Power Consumption in Virtex II FPGA Family. In: Proc. of the 2002 ACM/SIGDA 10th Int. Symp. on Field- Programmable Gate Arrays (2002)

    Google Scholar 

  5. Ullmann, M., Hübner, M., Grimm, B., Becker, J.: An FPGA Run-Time System for Dynamical On-Demand Reconfiguration. In: Proc. of the 11th Reconfigurable Architectures Workshop (RAW/IPDPS) (April 2004)

    Google Scholar 

  6. Paulsson, K., Auer, G., Dreschmann, M., Hübner, M., Becker, J.: Implementation of a Virtual Internal Configuration Access Port (JCAP) for Enabling Partial Self- Reconfiguration on Xilinx Spartan III FPGAs. In: FPL 2007, Amsterdam, Netherlands (2007)

    Google Scholar 

  7. Xilinx; Spartan III FPGA Family, DS099 (April 2006)

    Google Scholar 

  8. Xilinx; MicroBlaze Processor Reference Guide, UG086 (v6.0) (June 2006)

    Google Scholar 

  9. Gupta, S., Anderson, J.: Optimizing FPGA Power with ISE Design Tools. Xcell Journal, Second Quarter (2007)

    Google Scholar 

  10. Degalahal, V., Tuan, T.: Methodology for High Level Estimation of FPGA Power Consumption. In: Proc. of ASP-DAC 2005 Conference, Shanghai (January 2005)

    Google Scholar 

  11. Attig, M., Brebner, G.: Systematic Characterization of Programmable Packet Processing Pipelines. In: IEEE Symposium on Field-Programmable Custom Computing Machines, FCCM 2006, Napa, CA, USA (2006)

    Google Scholar 

  12. Wilton, S., Ang, S., Luk, W.: The Impact of Pipelining on Energy per Operation in Field Programmable Gate Arrays. In: Proceedings of the International Conference on Field Programmable Logic and Applications (FPL 2004) (2004)

    Google Scholar 

  13. Stitt, G., Grattan, B., Villarreal, J., Vahid, F.: Using on-chip configurable logic to reduce embedded system software energy. In: Proceedings of the IEEE Symposium on Field Programmable Custom Computing Machines (FCCM 2002) (2002)

    Google Scholar 

  14. Noguera, J., Badia, R.: System-level Power-Performance Trade-Offs for Reconfigurable Computing. IEEE Transactions on Very Large Scale Integration Systems, Special issue on Hardware/Software co-design (2006)

    Google Scholar 

  15. Becker, Hübner, Ullmann: Power Estimation and Power Mesurement of Xilinx Virtex FPGAs: Trade-offs and Limitations. In: SBCCI 2003 (2003)

    Google Scholar 

  16. Cong, J., Chen, D., He, L., Li, F., Lin, Y.: Architecture and Synthesis for Power Efficient FPGAs. In: 2004 IEEE Electronic Design Process Symposium (EDPS), Monterey, California (April 2004)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2009 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Noguera, J., Esser, R., Paulsson, K., Hübner, M., Becker, J. (2009). Towards Novel Approaches in Design Automation for FPGA Power Optimization. In: Svensson, L., Monteiro, J. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation. PATMOS 2008. Lecture Notes in Computer Science, vol 5349. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-95948-9_42

Download citation

  • DOI: https://doi.org/10.1007/978-3-540-95948-9_42

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-95947-2

  • Online ISBN: 978-3-540-95948-9

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics