Skip to main content

Test Data Compression Using Four-Coded and Sparse Storage for Testing Embedded Core

  • Conference paper
Algorithms and Architectures for Parallel Processing (ICA3PP 2010)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 6082))

  • 725 Accesses

Abstract

this paper presents a new test-data compression technique that uses exactly four codewords and sparse storage for testing embedded cores. It provides significant reduction in test-data volume with no any complex algorithm. It aims at precomputed data of intellectual property cores in system-on-chips and does not require any structural information of cores. In addition, the decompression logic is very small and can be implemented fully independent of the precomputed test-data set. Experimental results for ISCAS’89 benchmarks illustrate the flexibility and efficiency of the proposed technique.

This research is supported by National Natural Science Foundation of China (NSFC) under grant No. 60773207 and 60673085.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Tehranipoor, M., Nourani, M., Chakrabarty, K.: Nine-Coded Compression Technique for Testing Embedded Cores in SoCs. IEEE transactions on very large scale integration(VLSI) systems 13, 719–731 (2005)

    Article  Google Scholar 

  2. Hsu, F., Butler, K., Patel, J.: A case study on the implementation of the Illinois scan architecture. In: Proc. Int. Test Conf (ITC’01), pp. 538–547 (2001)

    Google Scholar 

  3. Wang, L.-T., Wang, Z., wen, X., et al.: VirtualScan: Test Compression Technology Using Combinatioal Logic and One-Pass ATPG. IEEE Design & Test of Computers, 122–129 (2008)

    Google Scholar 

  4. Rajski, J., Tyszer, J., Kassab, M., Mukherjee, N.: Embedded Deterministic test. IEEE transactions on computer-aided design of integrated circuits and systems 23(5), 776–792 (2004)

    Article  Google Scholar 

  5. Chandra, A., Chandrabarty, A.: A Unified Approach to Reduce SOC Test Data Volum, Scan Power and Testing Time. IEEE Transactions on Computer-aided design of integrated circuits and system 22(3), 352–362 (2003)

    Article  Google Scholar 

  6. Chandra, A., Chakrabarty, K.: System-on-a-chip Test-data Compression and Decompression Integrated Circuits and Systems. IEEE transaction on computer-Aided design of integrated circuits and systems 20, 355–368 (2001)

    Article  Google Scholar 

  7. Chandra, A., Chakrabarty, K.: Frequency-diredted Run-length(FDR) Codes with Application to System-on-a-Chip Test Data Compression. In: Proceedings of 19th IEEE VLSI Test Symposium (VTS 2001), pp. 42–47 (2001)

    Google Scholar 

  8. EL-Maleh, A.H.: Test data compression for system-on-a-chip using extended Frequency-Directed Run-Length Code. IET Computers & Digital Techniques, 155–163 (2008)

    Google Scholar 

  9. Nourani, M., Tehranipour, M.: RL-Huffman encoding for test compression and power reduction in scan applicaiton. ACM trans. Des. AUTOM. Electron. Syst., 91–115 (2005)

    Google Scholar 

  10. Jas, A., Gosh-Dastidar, J., Ng, M., Touba, N.: An effcient test vector compression scheme using selective Huffman coding. IEEE Trans. Comput. Aided Des., 797–806 (2003)

    Google Scholar 

  11. Jutman, A., Alekejev, I., Raik, J., et al.: Reseeding using Compaction of Pre-Generated LFSR Sub-Sequences, pp. 1290–1295. IEEE, Los Alamitos (2008)

    Google Scholar 

  12. Knieser, M., Wolff, F., Papachristou, C., Wyer, D., McIntyre, D.: A technique for high ratio LZW compression. In: Proc. Design Automation Test in Europe, pp. 116–121 (2003)

    Google Scholar 

  13. Hiraide, T., Boateng, K., Konishi, H., Itaya, K., Emori, M., Yamanaka, H.: BIST-aided scan test—A new method for test cost reduction. In: Proc. VLSI Test Symp. (VTS’03), pp. 359–364 (2003)

    Google Scholar 

  14. Hamzaoglu, I., Patel, J.H.: Test set compaction algorithms for combinational circuits. In: Proc. Int. Conf. Computer-Aided Design, pp. 283–289 (1998)

    Google Scholar 

  15. EL-Maleh, A.H.: Efficient test compression technique based on block merging. IET compter& Digital Techniques, 327–335 (2007)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Ling, Z., Ji-shun, K., zhi-qiang, Y. (2010). Test Data Compression Using Four-Coded and Sparse Storage for Testing Embedded Core. In: Hsu, CH., Yang, L.T., Park, J.H., Yeo, SS. (eds) Algorithms and Architectures for Parallel Processing. ICA3PP 2010. Lecture Notes in Computer Science, vol 6082. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-13136-3_44

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-13136-3_44

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-13135-6

  • Online ISBN: 978-3-642-13136-3

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics