Skip to main content

An Improvement of Router Throughput for On-Chip Networks Using On-the-fly Virtual Channel Allocation

  • Conference paper
Book cover Architecture of Computing Systems - ARCS 2011 (ARCS 2011)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 6566))

Included in the following conference series:

Abstract

With the trend to integrate a large number of cores on a single chip, Network-on-Chips (NoCs) are becoming more important for communication on System-on-Chips (SoCs). Designing high throughput and low latency on-chip networks with reasonable area overhead is one of the main technical challenges. This paper proposes an architecture of router with on-the-fly virtual channel (VC) allocation for high performance on-chip networks. By performing the VC allocation during the time a packet is traversing the crossbar switch, the pipeline of a packet transfer can be shortened in a non-speculative fashion without the penalty of area. The proposed architecture has been implemented on FPGA and evaluated in terms of network latency, throughput and area overhead. The experimental results show that, the proposed router with on-the-fly VC allocation can reduce the network latency by 40.9%, and improve throughput by 47.6% as compared to the conventional VC router. In comparison with the look-ahead speculative router, it improves the throughput by 8.8% with 16.7% reduction of area for control logic.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Benini, L., De Micheli, G.: Networks on Chips: A New SoC Paradigm. IEEE Computer 35, 70–78 (2002)

    Article  Google Scholar 

  2. Owens, J.D., Dally, W.J., Ho, R., Jayasimha, D.N., Keckler, S.W.: Peh, Li-S. Research Challenges for On-Chip Interconnection Networks. IEEE Micro 27(5), 96–108 (2007)

    Article  Google Scholar 

  3. Dally, W.J., Towles, B.: Route Packets, Not Wires: On-Chip Interconnection Networks. In: 38th Design Automation Conference, pp. 684–689 (2001)

    Google Scholar 

  4. Bjerregaard, T., Mahadevan, S.: A Survey of Research and Practices of Network-on-Chip. ACM Computing Surveys 38(1), 1–51 (2006)

    Article  Google Scholar 

  5. Peh, L.-S., Dally, W.J.: A Delay Model and Speculative Architecture for Pipelined Routers. In: 7th International Symposium on High-Performance Computer Architecture, pp. 255–266 (2001)

    Google Scholar 

  6. Dally, W.J., Towles, B.: Principles and Practices of Interconnection Networks. Morgan Kaufmann, San Francisco (2004)

    Google Scholar 

  7. Kim, J., Park, D., Theocharides, T., Vijaykrishnan, N., Das, C.R.: A Low Latency Router Supporting Adaptivity for On-chip Interconnects. In: 42nd Design Automation Conference, pp. 559–564 (2005)

    Google Scholar 

  8. Kim, J., Nicopoulos, C., Park, D., Narayanan, V., Yousif, M.S., Das, C.R.: A Gracefully Degrading and Energy-Efficient Modular Router Architecture for On-Chip Networks. In: International Symposium on Computer Architecture, pp. 4–15 (2006)

    Google Scholar 

  9. Mullins, R., West, A., Moore, S.: Low-Latency Virtual-Channel Routers for On-Chip Networks. In: 31st International Symposium on Computer Architecture, pp. 188–197 (2004)

    Google Scholar 

  10. Mullins, R., West, A., Moore, S.: The Design and Implementation of a Low Latency On-Chip Network. In: Asia and South Pacific Design Automation Conference, pp. 164–169 (2006)

    Google Scholar 

  11. Bertozzi, D., Benini, L.: Xpipes: A Network-on-Chip architecture for Gigascale Systems-on-Chip. IEEE Circuits and Systems Magazine, 18–31,Q.2 (2004)

    Google Scholar 

  12. Kumar, A.: Peh, Li-S., Kundu, P., Jha, N. K.: Express Virtual Channels: Towards the Ideal Interconnection Fabric. In: International Symposium on Computer Architecture, pp. 150–161 (2007)

    Google Scholar 

  13. Park, D., Das, R., Nicopoulos, C., Kim, J., Vijaykrishnan, N., Iyer, R., Das, C.R.: Design of a Dynamic Priority-Based Fast Path Architecture for On-Chip Interconnects. In: IEEE Symposium on High-Performance Interconnects, pp. 15–20 (2007)

    Google Scholar 

  14. Michelogiannakis, G., Pnevmatikatos, D.N., Katevenis, M.: Approaching Ideal NoC Latency with Pre-Configured Routes. In: International Symposium on Networks-on-Chip, pp. 153–162 (2007)

    Google Scholar 

  15. Matsutani, H., Koibuchi, M., Amano, H., Yoshinaga, T.: Prediction Router: Yet Another Low Latency On-Chip Router Architecture. In: IEEE International Symposium on High-Performance Computer Architecture, pp. 367–378 (2009)

    Google Scholar 

  16. Kumar, A., Kundu, P., Singh, A.P.: Peh, Li-S., Jha, N. K.: A 4.6 Tbits/s 3.6 GHz Single-cycle NoC Router with a Novel Switch Allocation in 65nm CMOS. In: 25th International Conference on Computer Design, pp. 63–70 (2007)

    Google Scholar 

  17. Kim, J.: Low-cost Router Microarchitecture for On-chip Networks. In: 42nd IEEE/ACM International Symposium on Microarchitecture, pp. 255–266 (2009)

    Google Scholar 

  18. McKeown, N., Anantharam, V., Walrand, J.: Achieving 100% Throughput in an Input-Queued Switch. IEEE Transactions on Communications 47(8), 1260–1267 (1999)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Nguyen, S.T., Oyanagi, S. (2011). An Improvement of Router Throughput for On-Chip Networks Using On-the-fly Virtual Channel Allocation. In: Berekovic, M., Fornaciari, W., Brinkschulte, U., Silvano, C. (eds) Architecture of Computing Systems - ARCS 2011. ARCS 2011. Lecture Notes in Computer Science, vol 6566. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-19137-4_19

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-19137-4_19

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-19136-7

  • Online ISBN: 978-3-642-19137-4

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics