Skip to main content

A System Level Approach to Multi-core Thermal Sensors Calibration

  • Conference paper

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 6951))

Abstract

Many-cores systems on chip provide the highest performance scaling potential due to the massive parallelism, but they suffer from thermal issues due to their high power densities. Thermal sensors and feedback strategies are used to reduce these threats but sensor accuracy directly impact control performance. In this paper we propose a novel technique to calibrate thermal sensors. Our approach can be applied to general multi-core platforms since it combines stress patterns and least-square fitting to perform thermal sensor characterization directly on the target device. We experimentally validate our approach on the Single Chip Cloud (SCC) prototype by Intel.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Bartolini, A., Cacciari, M., Tilli, A., Benini, L.: A distributed and self-calibrating model-predictive controller for energy and thermal management of high-performance multi-cores. In: Design, Automation & Test in Europe Conference & Exhibition (DATE), March 14-18, pp. 1–6 (2011)

    Google Scholar 

  2. Sharifi, S., Simunic Rosing, T.: Accurate direct and indirect on-chip temperature sensing for efficient dynamic thermal management. Trans. Comp.-Aided Des. Integ. Cir. Sys. 29(10), 1586–1599 (2010)

    Article  Google Scholar 

  3. Humenay, E., Tarjan, D., Skadron, K.: Impact of process variations on multicore performance symmetry. In: Proceedings of the Conference on Design, Automation and Test in Europe (DATE 2007), pp. 1653–1658. EDA Consortium, San Jose (2007)

    Google Scholar 

  4. Paterna, F., Acquaviva, A., Caprara, A., Papariello, F., Desoli, G., Benini, L.: An efficient on-line task allocation algorithm for QoS and energy efficiency in multicore multimedia platforms. In: Design, Automation & Test in Europe Conference & Exhibition (DATE), March 14-18, pp. 1–6 (2011)

    Google Scholar 

  5. Remarsu, S., Kundu, S.: On process variation tolerant low cost thermal sensor design in 32nm CMOS technology. In: Proceedings of the 19th ACM Great Lakes Symposium on VLSI (GLSVLSI 2009), pp. 487–492. ACM, New York (2009)

    Chapter  Google Scholar 

  6. Zhang, Y., Srivastava, A.: Accurate Temperature Estimation Using Noisy Thermal Sensors. In: Proc. of Design Automation Conference, pp. 472–477 (2009)

    Google Scholar 

  7. Revision Guide for AMD NPT Family 0Fh Processors. AMD Publication, #33610, p. 38 (October 2006)

    Google Scholar 

  8. IBM, Calibrating the Thermal Assist Unit in the IBM25PPC750L Processors. PowerPC Embedded Processors Application Note (October 6, 2001)

    Google Scholar 

  9. Howard, J., et al.: 48-Core IA-32 message-passing processor with DVFS in 45nm CMOS. In: Solid-State Circuits Conference, ISSCC (2010)

    Google Scholar 

  10. Intel Labs ”Using the Sensor Registers”, Revision 1.1, http://communities.intel.com/community/marc

  11. Bartolini, A., Cacciari, M., Tilli, A., Benini, L., Gries, M.: A Virtual Platform Environment for Exploring Power, Thermal and Reliability Management Control Strategies in High-performance Multicores. In: GLSVLSI (2010)

    Google Scholar 

  12. Intel Labs, ”SCC External Architecture Specification (EAS)”, Revision 1.1, http://communities.intel.com/community/marc

  13. Huang, W., Stan, M.R., Skadron, K.: Parameterized physical compact thermal modeling. IEEE Transactions on Components and Packaging Technologies 28(4), 615–622 (2005)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Bartolini, A., Sadri, M., Beneventi, F., Cacciari, M., Tilli, A., Benini, L. (2011). A System Level Approach to Multi-core Thermal Sensors Calibration. In: Ayala, J.L., García-Cámara, B., Prieto, M., Ruggiero, M., Sicard, G. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation. PATMOS 2011. Lecture Notes in Computer Science, vol 6951. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-24154-3_3

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-24154-3_3

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-24153-6

  • Online ISBN: 978-3-642-24154-3

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics