Skip to main content

Ultra-Low Power Sub-threshold SRAM Cell Design to Improve Read Static Noise Margin

  • Conference paper
Progress in VLSI Design and Test

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 7373))

Abstract

Sub-threshold circuit design is a prevalent selection for ultra-low power (ULP) systems. Static random access memory (SRAM) is an important component in these systems therefore ultra-low power SRAM has become popular. Operation of standard 6T SRAM at sub or near-threshold voltages is unfeasible, predominantly due to degraded static noise margin (SNM) and fluctuations in MOSFET currents because of process variations at ultra-low voltages. Hence, many researchers have deliberated divergent configuration SRAMs for sub-threshold operations having 8T, 9T and 10T bit-cells for enhanced stability. Sub-threshold SRAMs have many important design issues such as cell stability, leakage current and area. In this paper, we give a deep insight of sub-threshold SRAM cell design issues and discuss several important circuit techniques. We emphasize on SRAM cell stability during read operation, develop read port circuits to design an ultra-low power sub-threshold SRAM cell. We propose 9T bit-cell that effectively improve read margin, thereby achieving high cell stability at 45nm technology node. The proposed design shows the full functionality of SRAM cell at a voltage down to around 500-200mV. The proposed design employs standard circuit techniques to improve read margins, as well as to allow a large number of bit-cells on single bit-line.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Markovic, D., Wang, C.C., Alarcon, L.P., Liu, T.-T., Rabaey, J.M.: Ultralow-Power Design in Near-Threshold Region. Proc. of the IEEE 98, 237–252 (2010)

    Article  Google Scholar 

  2. Wang, A., Chandrakasan, A.: A 180-mV subthreshold FFT processor using a minimum energy design methodology. IEEE Journal of Solid-State Circuits 40, 310–319 (2005)

    Article  Google Scholar 

  3. Vladimirescu, A., Yu, C., Thomas, O., Huifang, Q., Markovic, D., Valentian, A., Ionita, R., Rabaey, J., Amara, A.: Ultra-low-voltage robust design issues in deep-submicron CMOS. In: The 2nd Annual IEEE Northeast Workshop on Circuits and Systems, pp. 49–52. IEEE (2004)

    Google Scholar 

  4. Raychowdhury, A., Mukhopadhyay, S., Roy, K.: A feasibility study of subthreshold SRAM across technology generations. In: Proc. IEEE International Conference on Computer Design: VLSI in Computers and Processors, pp. 417–422. IEEE (2005)

    Google Scholar 

  5. Eric, V.: Weak Inversion for Ultimate Low-Power Logic. In: Low-Power CMOS Circuits. CRC Press (2005)

    Google Scholar 

  6. Chang, I.J., Kim, J.J., Park, S.P., Roy, K.: A 32 kb 10T Sub-Threshold SRAM Array With Bit-Interleaving and Differential Read Scheme in 90 nm CMOS. IEEE Journal of Solid-State Circuits 44, 650–658 (2009)

    Article  Google Scholar 

  7. Kim, T.-H., Liu, J., Kim, C.H.: An 8T Subthreshold SRAM Cell Utilizing Reverse Short Channel Effect for Write Margin and Read Performance Improvement. In: IEEE Custom Integrated Circuits Conference, pp. 241–244. IEEE (2007)

    Google Scholar 

  8. Zhai, B., Pant, S., Nazhandali, L., Hanson, S., Olson, J., Reeves, A., Minuth, M., Helfand, R., Austin, T., Sylvester, D., Blaauw, D.: Energy- Efficient Subthreshold Processor Design. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 17, 1127–1137 (2009)

    Article  Google Scholar 

  9. Verma, N., Chandrakasan, A.P.: A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy. IEEE Journal of Solid-State Circuits 43, 141–149 (2008)

    Article  Google Scholar 

  10. Fisher, S., Teman, A., Vaysman, D., Gertsman, A., Yadid-Pecht, O., Fish, A.: Digital subthreshold logic design - motivation and challenges. In: IEEE 25th Convention of Electrical and Electronics Engineers in Israel, pp. 702–706. IEEE (2008)

    Google Scholar 

  11. Calhoun, B.H., Chandrakasan, A.P.: A 256-kb 65-nm sub-threshold SRAM design for ultra-low-voltage operation. IEEE Journal of Solid State Circuits 42, 680–688 (2007)

    Article  Google Scholar 

  12. Wang, A., Calhoun, B.H., Chandrakasan, A.P.: Sub-threshold design for ultra-low-power systems. Springer (2006)

    Google Scholar 

  13. Chang, M.-F., Chang, S.-W., Chou, P.-W., Wu, W.-C.: A 130 mV SRAM with Expanded Write and Read Margins for Subthreshold Applications. IEEE Journal of Solid-State Circuits 46(2) (2011)

    Google Scholar 

  14. Itoh, K.: Low-voltage memories for power-aware systems. In: Proc. of the International Symposium on Low Power Electronics and Design, pp. 1–6 (2002)

    Google Scholar 

  15. Wang, A., Chandrakasan, A.: A 180mV FFT processor using subthreshold circuit techniques. In: Solid-State Circuits Conference, vol. 1, pp. 292–529 (2004)

    Google Scholar 

  16. Calhoun, B.H., Chandrakasan, A.: Analyzing static noise margin for sub-threshold SRAM in 65nm CMOS. In: Proc. of the 31st European Solid-State Circuits Conference, pp. 363–366 (2005)

    Google Scholar 

  17. Zhang, K., Hamzaoglu, F., Wang, Y.: Low Power SRAMs in nanoscale CMOS technologies. IEEE Trans. Electron Devices 55(1), 145–151 (2008)

    Article  Google Scholar 

  18. Seevinck, E., List, F., Lohstroh, J.: Static-noise margin analysis of MOS transistors. IEEE Journal of Solid-State Circuits SC-22(5), 748–754 (1987)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2012 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Kushwah, C., Vishvakarma, S.K. (2012). Ultra-Low Power Sub-threshold SRAM Cell Design to Improve Read Static Noise Margin. In: Rahaman, H., Chattopadhyay, S., Chattopadhyay, S. (eds) Progress in VLSI Design and Test. Lecture Notes in Computer Science, vol 7373. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-31494-0_16

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-31494-0_16

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-31493-3

  • Online ISBN: 978-3-642-31494-0

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics