Skip to main content

Power Modeling of Power Gated FSM and Its Low Power Realization by Simultaneous Partitioning and State Encoding Using Genetic Algorithm

  • Conference paper

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 7373))

Abstract

Partitioning is an effective method for synthesis of low power finite state machines (FSM). To make the partitioning more effective power gating can be applied to turn OFF the inactive sub-machine. During transition from the states of one sub-machine to the states of other sub-machine, the supply voltage is required to be turned OFF for one sub-machine and turned ON for other sub-machine. This adjustment of supply voltage needs some amount of time. Hence, it effects the partitioning of FSMs for its power gated implementation as both the sub-machines are ON during this time. In this paper we have considered this issue by developing a new probabilistic power model of the power-gated design of FSM. As effective partitioning and encoding of FSM decides the power consumption of final power gating implementation, in this paper Genetic Algorithm (GA) has been used to solve this integrated problem of both bi-partitioning and encoding. Experimental results obtained show the effectiveness of the approach in terms of total dynamic power consumption, compared to the technique reported in the literature.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Benini, L., De Micheli, G.: State Assignment for Low Power Dissipation. IEEE Journal on Solid State Circuits, 32–40 (March 1994)

    Google Scholar 

  2. Noeth, W., Kolla, R.: Spanning Tree-based State Encoding for Low Power Dissipation. In: Proc. of Design Automation and Test in Europe, pp. 168–174 (March 1999)

    Google Scholar 

  3. Venkataraman, G., Reddy, S.M., Pomeranz, I.: GALLOP: Genetic Algorithm based Low Power FSM Synthesis by Simultaneous Partitioning and State Assignment. In: Proc. of 16th IEEE Conf. on VLSI Design, pp. 533–538 (2003)

    Google Scholar 

  4. Chow, S.H., Ho, Y.C., Hwang, T., Liu, C.L.: Low power realization of finite state machines-a decomposition approach. ACM Trans. Design Automat. Elect. Syst. 1(3), 315–340 (1996)

    Article  Google Scholar 

  5. Monteiro, J.C., Oliveira, A.L.: Finite State machine Decomposition for Low Power. In: Proc. of Design Automation Conference, pp. 758–763 (1998)

    Google Scholar 

  6. Giacomotto, C., Singh, M., Vratonjic, M., Oklobdzija, V.G.: Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements. In: Svensson, L., Monteiro, J. (eds.) PATMOS 2008. LNCS, vol. 5349, pp. 268–276. Springer, Heidelberg (2009)

    Chapter  Google Scholar 

  7. Leverich, J., Monchiero, M., Talwar, V., Ranganathan, P., Kozyrakis, C.: Power Management of Datacenter Workloads Using Per-Core Power Gating. Computer Architecture Letter 8(2) (July-December 2009)

    Google Scholar 

  8. Pakbaznia, E., Pedram, M.: Design and Application of Multimodal Power Gating Structures. In: Proc. of the 10th International Symposium on Quality of Electronic Design, pp. 120–126 (2009)

    Google Scholar 

  9. Kim, S., Kosonocky, S.V., Knebel, D.R., Stawiasz, K., Heidel, D., Immediato, M.: Minimizing Inductive Noise in System-On-a-Chip with Multiple Power Gating Structures. In: Proc. of the 29th European Solid-State Circuits Conference, pp. 635–638 (2003)

    Google Scholar 

  10. Liu, B., Cai, Y., Zhou, Q., Bian, J., Hong, X.: FSM decomposition for power gating design automation in sequential circuits. In: Proc. of the ASICON, pp. 862–865 (2005)

    Google Scholar 

  11. Fomina, E., Brik, M., Sudnitson, A., Vasilyev, R.: A New Approach To State Encoding of Low Power FSM

    Google Scholar 

  12. Pradhan, S.N., Tilak Kumar, M., Chattopadhyay, S.: Low power FSM synthesis using Power-gating. Integration, the VLSI Journal 44(3), 175–184 (2011)

    Article  Google Scholar 

  13. Sentovich, E.M., Singh, K.J., Lavagno, L., Moon, C., Murgai, R., Saldanha, A., Savoj, H., Stephan, P.R., Brayton, R.K., Sangiovanni-Vincentelli, A.L.: SIS: A System for Sequential Circuit Synthesis, http://www.eecs.berkeley.edu/Pubs/TechRpts/1992/2010.html

  14. Villa, T., Vincentell, A.S.: NOVA: State Assignment of Finite State Machines for Optimal Two-Level Logic Implementation. IEEE Transactions on CAD 9(9), 905–924 (1990)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2012 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Choudhury, P., Pradhan, S.N. (2012). Power Modeling of Power Gated FSM and Its Low Power Realization by Simultaneous Partitioning and State Encoding Using Genetic Algorithm. In: Rahaman, H., Chattopadhyay, S., Chattopadhyay, S. (eds) Progress in VLSI Design and Test. Lecture Notes in Computer Science, vol 7373. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-31494-0_3

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-31494-0_3

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-31493-3

  • Online ISBN: 978-3-642-31494-0

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics