Skip to main content

Reconfigurable Many-Core Processor with Cache Coherence

  • Conference paper
Book cover Computer Engineering and Technology (NCCET 2013)

Part of the book series: Communications in Computer and Information Science ((CCIS,volume 396))

Included in the following conference series:

Abstract

As the number of cores integrated on one processor increases, the cost of on-chip communication becomes more expensive, including the latency and the load on links. This also limits the utilization of the many-core processor. This paper describes a virtual computing group(VCG) model to improve the utilization of the computing resources on NoC-based many-core processor. Each VCG can be reconfigured into different size and topology before the program starts. The token protocol for cache coherence is adopted to improve the performance of memory accessing. Modifications to Token protocol are made to support cache coherence in the local VCG only, which lightens the communication penalty on a large NoC. We implement this reconfigurable system in Gem5 simulator, and the simulation result proves the improvement of the performance.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Baron, M.: The single-chip cloud computer - intel networks 48 pentiums on a chip. Microprocessor Report (2010)

    Google Scholar 

  2. Binkert, N.: The gem5 simulator. SIGARCH Computer Architecture News 39(2) (2011)

    Google Scholar 

  3. Dally, W., Seitz, C.: Deadlock free message routing in multiprocessor interconnection networks (1985)

    Google Scholar 

  4. David, W.: On-chip interconnection architecture of the tile processor. Micro 27(5) (2007)

    Google Scholar 

  5. Golub, G.H., Loan, C.F.V.: Matrix computations, 3rd edn. Johns Hopkins University Press (1996)

    Google Scholar 

  6. Guthaus, M.R.: MiBench: A free, commercially representative embedded benchmark suite. In: IEEE 4th Annual Workshop on Workload Characterization (2001)

    Google Scholar 

  7. ITRS2011, International technology roadmap for semiconductors: System drivers (2011)

    Google Scholar 

  8. Jiao, J., Fu, Y.: Multi-application specified link removal strategy for network on chip. In: Fourth International Joint Conference (2011)

    Google Scholar 

  9. Kumar, R., Mattson, T., Pokam, G., van der Wijngaart, R.: The case for message passing on many-core chips

    Google Scholar 

  10. Lin, X., McKinley, P.K., Ni, L.M.: Deadlock-free multicast wormhole routing in 2d mesh multicomputers (1992)

    Google Scholar 

  11. Martin, M.: Token Coherence. University of Wisconsin-Madison (2003)

    Google Scholar 

  12. Ros, A.: A direct coherence protocol for many-core chip multiprocessors. IEEE Transactions on Parallel and Distributed Systems 21(12) (2010)

    Google Scholar 

  13. Sankaralingam, K.: The distributed microarchitecture of the trips prototype processor. In: 39th International Symposium on Microarchitecture (2006)

    Google Scholar 

  14. Vydyanathan, N., Krishnamoorthy, S., Sabin, G.: An integrated approach for processor allocation and scheduling of mixed-parallel applications. In: ICPP (2006)

    Google Scholar 

  15. Wu, C.: Design and simulation of a torus structure and route algorithm for network on chip. In: 7th International Conference (2007)

    Google Scholar 

  16. Xu, J., Wolf, W.: A design methodology for application-specific network-on-chip. ACM Transaction on Embedded Computing System 5(2) (2005)

    Google Scholar 

  17. Ye, Y., Xie, Y.: 3d optical networks-on-chip (noc) for multiprocessor systems-on-chip (mpsoc). In: 3D System Integration 2009, 3 DIC (2009)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Han, X., Jiang, J., Fu, Y., Wang, C. (2013). Reconfigurable Many-Core Processor with Cache Coherence. In: Xu, W., Xiao, L., Zhang, C., Li, J., Yu, L. (eds) Computer Engineering and Technology. NCCET 2013. Communications in Computer and Information Science, vol 396. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-41635-4_21

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-41635-4_21

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-41634-7

  • Online ISBN: 978-3-642-41635-4

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics