Skip to main content

Part of the book series: Informatik—Fachberichte ((INFORMATIK,volume 255))

  • 35 Accesses

Abstract

The allocation task in high level synthesis systems maps operations of a behavioural description to functional units and determines the number of registers, multiplexers and wires. Although the number of multiplexers and wires is minimized, a rather large amount of space is used for the individual connections between the functional units. We reduce this space drastically by generating partitioned busses. For this purpose a parameterized and powerful bus architecture is defined. A method which partitions a data flow graph to allow a bus oriented design and a new allocation method are presented in this paper. First experiments with this approach were successful and lead to very small designs.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 54.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 69.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Borriello, G. and Detjens, E., High-Level Synthesis: Current Status and Future Directions, DAC88 25 (1988), 477–482.

    Google Scholar 

  2. Camposano, R. and Rosenstiel, W., Synthesizing Circuits from Behavioral Descriptions, IEEE Trans. on Comp. 8 (February 1989), 171–180.

    Google Scholar 

  3. Christofides, N., Graph Theory: An Algorithmic Approach, Academic Press (1975).

    Google Scholar 

  4. Kung, S. Y., Whitehouse, H. J. and Kailath, T., VLSI and Modern Signal Processing, Prentice Hall (1985), 256–264.

    Google Scholar 

  5. Lagnese, E. D. and Thomas, D. E., Architectural Partitioning for System Level Design, Proc. 26th ACM/IEEE Design Automation Conference 26 (25–29 June 1989 ), 62–67.

    Google Scholar 

  6. Man, H. D., Rabaey, J., Vanhoof, J., Goossens, G., Six, P. and Claesen, L., CATHEDRAL-II - a computer-aided synthesis system for digital signal processing VLSI systems, Computer-Aided Engineering Journal (April 1988), 55–66.

    Google Scholar 

  7. Marwedel, P., The Mimola Design System: A Design System which spans several levels, IFIP Methologies for Computer System Design (1985), 223–237.

    Google Scholar 

  8. McFarland, M. C., Computer-Aided Partitioning of Behavioral Hardware Descriptions, 20th Design Automation Conference (1983), 472–478.

    Google Scholar 

  9. McFarland, M. C., Parker, A. C. and Camposano, R., Tutorial on High-Level Synthesis, DAC88 25 (1988), 330–336.

    Google Scholar 

  10. Müller, R. and Lengauer, T., FRODO: A Robust Framework for Partitioning-Based Floorplanning and Integrated Global Routing, Technical Report, Universität GH Paderborn (1990).

    Google Scholar 

  11. Park, N. and Parker, A., SEHWA: A Program for Synthesis of Pipelines, DAC86 23 (1986), 454–460.

    Google Scholar 

  12. Parker, A. C., Pizarro, J. T. and Mlinar, M., MAHA: A Program for Datapath Synthesis, DAC86 23 (1986), 461–466.

    Google Scholar 

  13. Paulin, P. G. and Knight, J. P., Force-Directed Scheduling for the Behavioral Synthesis of ASIC’s, IEEE Trans. on CAD 6 (June 1989), 661–679.

    Google Scholar 

  14. Pfahler, P., Automated Datapath Synthesis: A Compilation Approach, Microprocessing and Microprogramming 21 (1987), 577–584.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 1990 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Ewering, C. (1990). A New Allocation Method for the Synthesis of Partitioned Busses. In: Reusch, B. (eds) Rechnergestützter Entwurf und Architektur mikroelektronischer Systeme. Informatik—Fachberichte, vol 255. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-84304-4_11

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-84304-4_11

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-53163-0

  • Online ISBN: 978-3-642-84304-4

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics