Skip to main content

Memory Centric Hardware Prefetching in Multi-core Processors

  • Conference paper
  • First Online:
Book cover Trustworthy Computing and Services (ISCTCS 2014)

Part of the book series: Communications in Computer and Information Science ((CCIS,volume 520))

Included in the following conference series:

  • 934 Accesses

Abstract

Hardware prefetching is widely employed in modern processors. It has been proved that prefetching can significantly improve application’s performance unless it exhibits sparse locality. Nevertheless, prefetching may result in performance degradation in CMP systems as it issues many off-chip memory requests. In this paper, we propose MCPref, a prefetching mechanism that is sensitive to the load of memory bus. Unlike traditional prefetching mechanism, MCPref opens when memory bus is starve and halts when memory bus is busy. Simulation results show that our non-feedback prefetcher design is effective in the scenario of multi-core architecture.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Hinton, G., Sager, D., Upton, M., Boggs, D., Carmean, D., Kyker, A., Roussel, P.: The microarchitecture of the pentium 4 processor. Intel Technol. J. (Q1) (2001)

    Google Scholar 

  2. Sinharoy, B., Kalla, R.N., Tendler, J.M., Eickemeyer, R.J., Joyner, J.B.: POWER5 system microarchitecture. IBM J. Res. Dev. 49(4/5), 505–521 (2005)

    Article  Google Scholar 

  3. Le, H.Q., Starke, W.J., Fields, J.S., Connell, F.O., Nguyen, D.Q., Ronchetti, B.J., Sauer, W.M., Schwarz, E.M., Waden, M.T.: IBM power6 microarchitecture. IBM J. Res. Dev. 51, 639–662 (2007)

    Article  Google Scholar 

  4. Doweck, J.: Inside Intel Core microarchitecture and smart memory access. White paper, Intel Research Website (2006). http://download.intel.com/technology/architecture/sma.pdf

  5. VanderWiel, S., Lilja, D.J.: Data prefetch mechanisms. ACM Comput. Surv. 32(2), 174–199 (2000)

    Article  Google Scholar 

  6. Zhuang, X., Lee, H.-H.: Reducing cache pollution via dynamic data prefetch filtering. IEEE Trans. Comput. 56(1), 18–31 (2007). (water)

    Article  MathSciNet  Google Scholar 

  7. Srinivasan, S.: Prefetching vs. the memory system: optimizations for multicore server platforms. Ph.D. thesis, University of Maryland, Dept. of Electrical & Computer Engineering (2007)

    Google Scholar 

  8. Srinath, S., Mutlu, O., Kim, H., Patt, Y.N.: Feedback directed prefetching: improving the performance and bandwidth-efficiency of hardware prefetchers. In: Proceedings of the 13th International Symposium on High-Performance Computer Architecture (HPCA), Phoenix, AZ, pp. 63–74, February 2007

    Google Scholar 

  9. Lee, C.J., Mutlu, O., Narasiman, V., Patt, Y.N.: Prefetch-aware DRAM controllers. In: Proceedings of the 41st International Symposium on Microarchitecture (MICRO), Lake Como, Italy, pp. 200–209, November 2008

    Google Scholar 

  10. Ebrahimi, E., et al.: Coordinated control of multiple prefetchers in multi-core systems. In: MICRO-42 (2009)

    Google Scholar 

  11. Ebrahimi, E., Lee, C.J., Mutlu, O., Patt, Y.N.: Prefetch-aware shared resource management for multi-core systems. In: Proceedings of the 38th International Symposium on Computer Architecture (ISCA), San Jose, CA, June 2011

    Google Scholar 

  12. Mutlu, O., Moscibroda, T.: Parallelism-aware batch scheduling: enhancing both performance and fairness of shared DRAM systems. In: Proceedings of the 35th International Symposium on Computer Architecture (ISCA), Beijing, China, pp. 63–74, June 2008

    Google Scholar 

  13. Lee, C.J., Narasiman, V., Mutlu, O., Patt, Y.N.: Improving memory bank-level parallelism in the presence of prefetching. In: Proceedings of the 42nd International Symposium on Microarchitecture (MICRO), New York, NY, pp. 327–336, December 2009

    Google Scholar 

  14. Stuecheli, J., Kaseridis, D., Daly, D., Hunter, H., John, L.K.: The virtual write queue: coordinating DRAM and last-level cache policies. In: The 37th Interenational Symposium on Computer Architecture, June 2010

    Google Scholar 

  15. Lee, C.J., Narasiman, V., Ebrahimi, E., Mutlu, O., Patt, Y.N.: DRAM-aware last-level cache writeback: reducing write-caused interference in memory systems. HPS Technical report, TR-HPS-2010–002, April 2010

    Google Scholar 

  16. Casmira, J.P., Kaeli, D.R.: Modeling cache pollution. Int. J. Model. Simul. 19(2), 132–138 (1998)

    Google Scholar 

  17. Jain, P., Devadas, S., Rudolph, L.: Controlling cache pollution in prefetching with software-assisted cache replacement. Technical report TR-CSG-462, Massachusetts Institute of Technology (2001)

    Google Scholar 

  18. Megiddo, N., Modha, D.: ARC: a self-tuning, low overhead replacement cache. In: Proceedings the 2nd USENIX Conference on File and Storage Technologies, San Francisco, pp. 115–130, 31 March–2 April 2003

    Google Scholar 

  19. Wu, C.J., Jaleel, A., Martonosi, M., Steely, S.C., Emer Jr., J.: PACMan: prefetch-aware cache management for high performance caching. In: Proceedings of the 44th International Symposium on Microarchitecture (MICRO) (2011)

    Google Scholar 

Download references

Acknowledgement

This research is supported by 863 Program of China under grant 2012AA010902, by the NSF of China under grant 61133004, 61073011, and 61202425.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Rui Wang .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2015 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Zhu, D., Wang, R., Luan, Z., Qian, D., Zhang, H., Cai, J. (2015). Memory Centric Hardware Prefetching in Multi-core Processors. In: Yueming, L., Xu, W., Xi, Z. (eds) Trustworthy Computing and Services. ISCTCS 2014. Communications in Computer and Information Science, vol 520. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-662-47401-3_41

Download citation

  • DOI: https://doi.org/10.1007/978-3-662-47401-3_41

  • Published:

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-662-47400-6

  • Online ISBN: 978-3-662-47401-3

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics