Skip to main content
Log in

Panorama des outils d’analyse et d’optimisation de la consommation dans les systèmes sur puce (SoC)

Overview of power/energy consumption analysis and optimization tools in SoCs

  • Published:
Annales Des Télécommunications Aims and scope Submit manuscript

Résumé

La consommation est actuellement une contrainte critique des applications de traitement du signal et de l’image ; l’apparition des Systèmes sur puce (Systems-on-Chip, SoC) ne fait qu’en complexifier l’étude en augmentant l’hétérogénéité, la densité et les performances du système. Pour appréhender ce problème et cibler efficacement les actions, nous examinerons d’abord les sources de cette consommation et sa répartition dans les circuits et systèmes ; puis nous proposerons un état de l’art non exhaustif des méthodes d’estimation et d’optimisation de la consommation, des outils disponibles ainsi que des besoins et perspectives de la conception faible consommation pour les SoC.

Abstract

Power and energy consumption is currently a critical problem in digital signal and image processing applications; the emergence of Systems-on-Chip (SoCs) makes the power analysis difficult by increasing the system heterogeneity, density and performances. To take into account this problem and efficiently focus the actions, we will first examine the main sources of the power consumption and its distribution in circuits and systems; then we will propose a non exhaustive overview of estimation and optimization methods and available tools together with the needs and perspectives in low power SoC design.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Bibliographie

  1. esr Core-Team, «Embedded Systems Roadmap», 22 Feb. 2002.

  2. De Man (H.), «On Nanoscale Integration and Gigascale Complexity in the Post.com world»,presentation indate, Paris, March 4–8, 2002.

  3. Cai (G.),Lim (C.H.), «Architectural Level Power/Performance Optimization and Dynamic Power Estimation», inacm/ieee Conf.micro-32 CoolChip Tutorial, Nov. 15, 1999.

  4. De (V.),Borkar (S.), «Low Power and High Performance Design Challenges in Future Technologies», inProc.glsvlsi, 2000.

  5. Irwin (M.J.),Narayanan (V.), «Low Power Design: From Soup to Nuts», inisca Tutorial Low Power Design, 2000.

  6. Edaa, «System Design Technology Roadmap», Jan. 21, 1998,http://www.edaa.com.

  7. itea, «Technology Roadmap on Software Intensive Systems»,itea Office Association, Eindhoven, March 2001, http://www.itea-office.org.

  8. itrs, «International Technology Roadmap for Semiconductors», Edition 2001,http://public.itrs.net.

  9. Benini (L.),Marwedel (P.), «Low-Power/Low-Energy Embedded Software»,in Tutorialdate, Paris, March 4–8, 2002.

  10. Panda (P. R.),Dutt (N.),Nicolau (A.),Memory Issues in Embedded Systems-On-Chip: Optimizations and Exploration, Kluwer Academic Publishers,isbn: 0-7923-8362-1, 1999.

  11. Jerraya (A.-A.),Conception de haut niveau des systèmes monopuces, Lavoisier, Paris, Hermès Science Publications, coll.egem, 2002,isbn: 2-7462-0433-9.

    Google Scholar 

  12. Robert (M.),Torres (L.),Sassatlli (G.),Cambon (G.), «Reuse oriented prototyping methodologies for systems on chip», in Proc.13th European Simulation Symposium, Simulation in Industry, Marseille, France, October 18–20, 2001, pp. 17–19.

  13. Piguet (C.),Renaudin (M.),Omnes (J-F.), «Special Session on Low-Power Systems on Chips (SoCs)», in Proc.date, March 13–16 2001, Munich, pp488–494.

  14. Mudge (T.), «Power: A First-Class Architectural Design Constraint» inComputer,34 no4, April 2001, pp. 52–57.

    Article  Google Scholar 

  15. Singh (D.)Tiwari (V.), «Power Challenges in the Internet World», inacm/ieee Conf.micro-32 CoolChip Tutorial, Nov. 15, 1999.

  16. Poppen (F.), «Low Power Design Guide», http://www.lowpower.de/charter/designguide.php.

  17. Wilcox (K.),Manne (S.), «Alpha Processor : A History of Power Issues and A Look to the Future», inacm/ieee Conf.micro-32 CoolChip Tutorial, Nov. 15, 1999.

  18. Rabaey (J. M.),Pedram (M.),Low Power Design Methodologies, Kluwer Academic Publishers,isbn: 0-7923-9630-8, 1996.

  19. Nebel (W.),Schmidt (E.), «Low Power Design Training»,in Tutorialdate, Paris, March 4–8, 2002.

  20. Bellouar (A.),Elmasry (M. I.),Low-Power Digitalvlsi Design, Circuits and Systems, Kluwer Academic Publishers,isbn: 0-7923-9587-5, 1995.

  21. Bickerstaff (M.),Nicol (C.),Ackland (B.), «Low Powerdsps For Wireless Infrastructure», inacm/ieee Conf.micro-32 CoolChip Tutorial, Nov. 15, 1999.

  22. Julien (N.),Laurent (J.),Senn (E.),Martin (E.), «Power Consumption Modeling and Characterization of theti c6201»,inieee Micro, Special Issue on Power- and Complexity- Aware Architecture, September/October 2003.

  23. Chandrakasan (A.),Brodersen (R.), Low Power Digitalcmos Design,Kluwer Academic Publisher,isbn: 0-7923-9576-X, 1995.

  24. Coudert (O.), «Gate sizing: a General Purpose Optimization Approach,»edac96, pp. 214–218.

  25. Cong (J.),Koh (C. K.), «Simultaneous driver and wire sizing for performance and power optimization,»ieee Trans. onvlsi and Systems,2 no4 Dec94 pp. 408–424.

  26. Farrahi (A. H.),Tellez (G. E.),Sourafzadeh (M.), «Memory segmentation to exploit sleep mode operation,»dac 95, pp 36–41.

  27. Najm (F. N.), «Feedback, correlation, and delay concerns in the power estimation ofvlsi circuits,»1995dac, pp 612–617.

  28. Ragunathan (A.),Jha (N.K.),Dey (S.), High-level Power Analysis and Optimization,Kluwer Academic Publishers,isbn: 0-7923-8073-8, 1998.

  29. Azemard (N.),Auvergne (D.), «pops : A tool for delay/power performance optimization»,Journal of Systems Architecture, Elsevier, no 47, 2001, pp. 375–382.

  30. Kim (C. H.),Roy (K.), «Dynamic VTH Scaling Scheme for Active Leakage Power Reduction», inProc.date, Paris, March 4–8, 2002, pp. 163–167.

  31. Cristoloveanu (C.),Li (S.S.), Electrical Characterization of Silicon On Insulator Materials and Devices,Kluwer Academic Publishers,isbn 0-7923-9548-4, Boston, 1995.

  32. Dickinson (A.G.),Denker (J.), «Adiabatic dynamic logic,»ieee Journal of Solid State Circuits,30 no3 March 95 pp. 311–315.

  33. Ohkubo (N.),Suzuky (M.),Shinto (T.),Yamanaka (T.),Shimizu (A.),Sasaki (K.),Nakagome (Y.), «A 4.4 nscmos 54*54-b multiplier using Pass-Transistor multiplexer,»ieee Journ. of Solid State Circuits,30 no3 March 95 pp. 251–256.

  34. Kojima (H.),Tanaka (S.),Sasaki (K.), «Half-swing clocking scheme for 75% power saving in clocking circuitry,»ieee of Journal of Solid State,30 no4 April 95 pp. 432–435.

  35. Alidissa (M.),Monteiro (J.),Devadas (S.),Ghosh (A.),Papaefthymiou (M.), «Precomputation-based logic optimization for low power,»ieee Trans. onvlsi Systems,2 no4 December 94 pp. 426–435.

  36. Lakshminarayan (G.),Raghunathan (A.),Khouri (K. S.),Jha (N. K.),Dey (S.), «Common-Case Computation: A High-Level Technique for Power and Performance Optimization,»dac, New Orleans,usa, 1999.

  37. Iman (S.),Pedram (M.), «Logic extraction and factorization for low power,»dac, 1995, pp. 248–252.

  38. Julien (N.),Gailhard (S.),Martin (E.), «Low Power Synthesis Methodology with Data Format Optimization Applied on adwt»,Journal ofvlsi Signal Processing35 no2, August 2003, pp. 195–211.

    Article  MATH  Google Scholar 

  39. Rabaey (J. M.), «Low Power Design at the Architectural and System Level»,Eurochip Course on Methods and Tools for Digital System Design, 4–8 September 1995, Leuven, Belgium.

  40. Benini (L.),Macii (A.),Macii (E.),Poncino (M.),Scarsi (R.), «Architectures and Synthesis Algorithms for Power-Efficient Bus Interfaces»,ieee Trans. Computer-Aided Design,19, no9, Sept. 2000 p. 969.

    Article  Google Scholar 

  41. Macii (E.),Pedram (M.),Somenzi (F.), «High-Level Power Modeling, Estimation, and Optimization,»ieee Trans. Computer-Aided Design of Integrated Circuits and Systems,17, no11, Nov. 1998, pp. 1061–1079.

    Article  Google Scholar 

  42. Singh (D.),Rabaey (J.M.),Pedram (M.),Catthoor (F.),Rajgopal (S.),Sehgal (N.),Mozdzen (T.J.), «Power consciouscad tools and methodologies : a perspective,» inProc. ofieee,83 no4 April 95 pp. 570–594.

  43. Tiwari (V.),Malik (S.),Wolfe (A.),Lee (M. T.-C.), «Instruction Level Power Analysis and Optimization of Software», inInt. Journal ofvlsi Signal Processing, 1–18, (1996).

  44. intel Xscale, http://www.intel.com/design/intelxscale/index.htm.

  45. Benini (L.),de Micheli (G.), «Dynamic Power Management, Design Techniques andcad Tools», Kluwer Academic Publishers, 1998.

  46. acpi web site, http://www.acpi.info/index.htm

  47. simplex web site, www.simplex.com.

  48. synopsys web site, www.synopsys.com.

  49. avanti web site, www.avanticorp.com.

  50. mentor graphics web site, www.mentor.com.

  51. monterey design web site, www.montereydesign.com.

  52. Frenkil (J.), «Tools and Methodologies for Low-Power Design,»Proc. ofdac’97, Anaheim 1997.

  53. Rouatbi (F.),Haroun (B.),Al-Khalili (A.J.), «Power estimation tool for sub-microncmos vlsi circuits,»ieee cad 1992, pp204–209.

  54. Nabavi-Lishi (A.),Rumin (N.), «Delay and bus current evaluation incmos logic circuits,»ieee cad 1992, pp. 198–203.

  55. Najm (F.), «A survey of power estimation techniques invlsi circuits»,ieee Trans. onvlsi Systems,4 no4 December 94 pp. 446–454.

  56. Burch (R.),Najm (F.),Yang (P.),Trick (T.), «Mc Power : a monte carlo approach to power estimation,»ieee cad 92, pp. 90–97.

  57. Ghosh (A.),Devadas (S.),Keutzer (K.),White (J.), «Estimation of average switching activity in combinatorial and sequential circuits,»ieee dac 92, pp. 253–259.

  58. Gupta (S.),Najm (F. N.), «Power Macromodeling for High Level Power Estimation,»dac 97, Anaheimusa.

  59. emics web site, www.xemics.ch.

  60. sequence web site, http://www.sequencedesign.com.

  61. Zimmermann (R.),powercalc, Power Calculator for Compass, Integrated Systems Laboratory, Swiss Federal Institut of Technology, Zurich, 1996.

  62. Bouzidi (M.), «Estimation de la consommation de circuitscmos numériques»,PhD Thesis, Ecole Normale Supérieure des télécommunications, Paris, Octobre 1999.

  63. Landman (P.E.),Rabaey (J.M.), «Architectural power analysis : the dual bit method,»ieee Trans. onvlsi Systems,3 no2 June 95 pp. 173–187.

  64. Denoual (M.), «Estimation au niveau architectural de la consommation des circuits dédiés au traitement numérique du signal»,Doctorat de l’Université de Rennes 1, 15 octobre 2001.

  65. orinoco web site, http://www.o-s-c.de/deutsch/lp.htm.

  66. Gailhard (S.),Julien (N.),Diguet (J.-Ph.),Martin (E.), «How to Transform an Architectural Synthesis Tool for Low Power VLSI Designs»,8-thieee gls-vlsi 98, Louisiana, Feb. 1998.

  67. Grandpierre (T.),Lavarenne (C.),Sorel (Y.), «Optimized Rapid Prototyping for Real-Time Embedded Heterogeneous Multiprocessors»,codes’99, 7th Int. Workshop on Hardware/Software Co-Design, Rome, May 1999.

  68. Guerra (L.),Potkonjak (M.),Rabaey (J.), «A Methodology for Guided Behavioral-Level Optimization,»ieee Proc. of 35th. Design Automation Conferencedac98, San Franciscousa.

  69. Guerra (L.),Potkonjak (M.),Rabaey (J.), «System-level design guidance using algorithm properties,»ieee vlsi Signal Processing, VII pp. 73–82.

  70. Gailhard (S.),Julien (N.),Martin (E.), «Adaptative filters implementation performances under power dissipation constraint,»eurosipco 98, Greece Sept. 98.

  71. Chandrakasan (A.P.),Potkonjak (M.),Mehra (R.),Rabaey (J.),Brodersen (R.W.), «Optimizing power using transformations,»ieee Trans. on VLSI Systems, Vol. 14 no1 January 95 pp. 12–29.

  72. Lisky (D.),Rabaey (J.), «Early Power Exploration — A World Wide Web Application»,Proc. of the 1996 Design Automation Conference, pp. 27–32.

  73. Martin (E.),Philippe (J.L.), «Ingénierie des systèmes à microprocesseurs : applications au traitement du signal et de l’image»,Paris, Masson 96.

  74. Iqbal (Z.),Potkonjak (M.),Dry (S.),Parker (A.), «Critical path minimization using retiming and algebraic speed-up,»in Proc.dac 93, pp. 573–577.

  75. Potkonjak (M.),Srivasta (M.B.),Chandrakasan (A.P.), «Multiple constant multiplications: efficient and versatile framework and algorithm for exploiting common sub expression elimination,»ieee Trans oncad,15 no2 Feb. 95 pp. 151–165.

  76. Catthoor (F.),Wuytack (S.),De Greef (E.),Balasa (F.),Nachtergaele (L.),Vandecapelle (A.), Custom Memory Management Methodology,Kluwer Academic Publishers, Boston, 1998,isbn: 0-7923-8288-9.

    MATH  Google Scholar 

  77. Landman (P.E.),Mehra (R.),Rabaey (J.), «An integratedcad environment for low power Design,»ieee Design and Test of Computers,13 no2 pp. 72–82 June 96.

  78. Li (Y.),Henkel (J.), «A Framework for Estimating and Minimizing Energy Dissipation of Embeddedhw/sw Systems,»ieee Proc. of 35th. Design Automation Conferencedac98, San Francisco, USA.

  79. Chung (E.Y.),Benini (L.),Bogliolo (A.),De Micheli (G.D.), «Dynamic power management for non-stationary service requests,»Design Automation and Test in Europe, 1999.

  80. Roy (K.),Johnson (M. C.), «Software Design for Low Power,» innato Advanced Study Institute on Low Power Design in Deep Submicron Electronics, Aug. 1996,nato asi Series, chap. 6.3.

  81. Valluri (M.),John (L.), « Is Compiling for Performance = Compiling for Power ?»,Workshop on Interaction between Compilers and Computer Architecturesinteract-5, January 2001.

  82. Rabaey (J.),Guerra (L.),Mehra (R.), «Design Guidance in the Power Dimension»,Proc. of theicassp, 1995.

  83. Ye (W.),Vijaykrishnan (N.),Kandemir (M.),Irwin (M.J.), “The Design and Use of SimplePower: A Cycle Accurate Energy Estimation Tool», inProc. Design Automation Conf., June 2000, pp. 340–345.

  84. Kadayif (I.),Kandemir (M.),Vijaykrishnan (N.),Irwin (M.J.),Sivasubramaniam (A.), «eac: A Compiler Framework for High-Level Energy Estimation and Optimization»,in Proc.date’02, March 4–8 2002, pp. 436–442.

  85. Brooks (D.),Tiwari (V.),Martonosi (M.), «Wattch: A Framework for Architectural-Level Power Analysis and Optimizations,» inProc. Int. Symp. on Computer Architecture, June 2000, pp. 83–94.

  86. Liao (W.),He (L.), « Power Modeling and Reduction ofvliw Processors»,in Proc. Workshop on Compilers and Operating Systems for Low Powercolp, 2001, pp. 8-1/8-7.

  87. Ponomarev (D.),Kucuk (G.),Ghose (K.), «AccuPower: An Accurate Power Estimation Tool for Superscalar Microprocessors», inProc.date’02, March 4–8 2002, pp. 124–129.

  88. Tiwari (V.),Malik (S.),Wolfe (A.), «Power analysis of embedded software: a first step towards software power minimization,»ieee Trans.vlsi Systems,2 no4, Dec. 1994, pp. 437–445.

    Article  Google Scholar 

  89. Klass (B.),Thomas (D.E.),Schmit (H.),Nagle (D.F.), «Modeling Inter-Instruction Energy Effects in a Digital Signal Processor»Power Driven Microarchitecture Workshopisca, 1998.

  90. Brandolese (C.),Fornaciari (W.),Salice (F.),Sciuto (D.), “An Instruction-Level Functionality-Based Energy Estimation Model for 32-bits Microprocessors,” inProc. Design Automation Conf., June 2000, pp. 346–351.

  91. Qu (G.),Kawabe (N.),Usami (K.),Potkonjak (M.), «Function-Level Power Estimation Methodology for Microprocessors,» inProc. Design Automation Conf, June 2000, pp. 810–813.

  92. Belleudy (C.),Guitton-Ouhamou (P.),Auguin (M.), «Power Consumption Model for thedsp oak Processor», inProc.vlsi-soc, Montpellier, December 3–5, 2001, pp. 73–78.

  93. Bona (A.),Sami (M.),Sciuto (D.),Silvano (C.),Zaccaria (V.),Zafalon (R.), «Energy Estimation and Optimization of Embeddedvliw Processors based on Instruction Scheduling»,in Proc.dac’02, June 10–14, 2002, New Orleans,usa, pp. 886–891.

  94. Steinke (S.),Knauer (M.),Wehmeyer (L.),Marwedel (P.), «An Accurate and Fine Grain Instruction-Level Energy Model Supporting Software Optimizations»in Proc.patmos (2001).

  95. Sinha (A.),Chandrakasan (A. P.), «JouleTrack — A Web Based Tool for Software Energy Profiling», inProc.dac, June 2001, p. 220.

  96. Balasa (F.),Catthoor (F.),De Man (H.), «Practical solutions for counting scalars and dependences inatomium»,ieee Trans. On Computer Aided Design,16, pp. 133–145, February 1997.

    Article  Google Scholar 

  97. Benini (L.),Macii (A.),Macii (E.), «Static Footprint Control in Code Compression for Low-Energy Embedded Systems», inProc. Int. Workshop onpatmos, 2001.

  98. Ben Ammar (L.),Amara (A.), «Réduction des courants de fuite dans lesroms en utilisant la précharge sélective»,in Proc. 3èmes Journées Francophones d’Etudes Faible Tension — Faible Consommation, Paris, France, 30 mai-1er juin, 2001, pp. 13–18.

  99. Papaix (C.),Daga (J. M.),Casetta (M.),Auvergne (D.), «Optimisation en puissance de l’écriture des mémoireseeprom embarquées»,in Proc. 3èmes Journées Francophones d’Etudes Faible Tension — Faible Consommation, Paris, France, 30 mai — 1er juin, 2001, pp. 23–25.

  100. mosys web site, http://www.mosys.com.

  101. Kamble (M.),Ghose (K.), «Analytical energy dissipation models for low power caches,»In Proceedings of International Symposium. on Low Power Electronic and Design, pp. 143–148, August 1997.

  102. Shivakumar (P.),Jouppi (N. P.), «cacti 3.0 : An Integrated Cache Timing, Power and Area Model,»Technical Report, Compaq, Western Research Laboratory, august 2001.

  103. Su (C.),Despain (A.), «Cache Design Trade-offs for Power and Performance Optimization : A Case Study, « inProc. Int. Symposium on Low Power and Design, pp. 63–68, 1995.

  104. Shiue (W.-T.),Chakrabarti (C.), «Memory exploration for low-power embedded synthesis», inProc. 36thieee Design Automation Conference, june 1999, pp. 140–145.

  105. Coumeri (S.),Thomas (D.), «Memory Modeling for System Synthesis,»In Proceedings of International Symposium on Low Power Electronic and Design, pp. 179–184 August 1998.

  106. Schmidt (E.),Jochens (G.),Kruse (L.),Theeuwen (F.),Nebel (W.), «Automatic Nonlinear Memory Power Modelling», inProc.date, 2001, p. 808.

  107. Micron, «Calculatingddr Memory System Power»,Technical Note,tn-46-03, Micron, 2001.

  108. Grun (P.),Balasa (F.),Dutt (N.), «Memory size estimation for multimedia applications», inProc. 6th International Workshop on Hardware/Software Co-Designcodes, March 1998.

  109. Zhao (Y.),Malik (S.), «Exact Memory Size Estimation for Array Computations»,ieee Trans.vlsi Systems,8, No 5, October 2000.

  110. Lekatsas (H.),Henkel (J.),Wolf (W.), «Code Compression for Low Power Embedded System Design», inProc.dac, 2000, p. 294.

  111. Benini (L.),Macchiarulo (L.),Macii (A.),Macii (E.),Poncino (M.), «From Architecture to Layout: Partitioned Memory Synthesis for Embedded Systems-on-Chip», inProc.dac, 2001, p. 784.

  112. Malik (A.),Moyer (B.),Cermak (D.), «A Low Power Unified Cache Architecture Providing Power and Performance Flexibility», inProc.islped, 2000, pp. 241–243.

  113. Zhou (H.),Toburen (M.C.),Rotenberg (E.),Conte (T. M.), «Adaptive Mode Control: A Static Power-Efficient Cache Design», inProc. Int. Conf. On Parallel Architectures and Compilation Techniques, 8–12 September 2001, Barcelona, Spain, pp. 61–70.

  114. Fornaciari (W.),Sciuto (D.),Silvano (C.),Zaccaria (V.), «A Design Framework to Efficiently Explore Energy-Delay Tradeoffs», inProc.codes, 2001, p. 260.

  115. Benini (L.),Macii (A.),Macii (E.),Poncino (M.), «Synthesis of Application-Specific Memories for Power Optimization in Embedded Systems», inProc. 37thieee Design Automation Conference, June 2000, pp. 300–304.

  116. Steinke (S.),Wehmeyer (L.),Lee (B-S.),Marwedel (P.), «Assigning Program and Data Objects to Scratchpad for Energy Reduction», inProc.date, March 4–8, 2002, Paris, pp. 409–415.

  117. Tang (W.),Gupta (R.),Nicolau (A.), «Power Savings in Embedded Processors through Decode Filter Cache», inProc.date, March 4–8, 2002, pp. 443–448.

  118. Kin (J.),Gupta (M.),Mangione-Smith (W.), «The Filter Cache: An Energy Efficient Memory Structure», inProc. International Symposium on Microarchitecture, December 1997, pp. 184–193.

  119. Sami (M.),Sciuto (D.),Silvano (C.),Zaccaria (V.), «Exploiting Data Forwarding to Reduce the Power Budget ofvliw Embedded Processors», inProc.date, 2001, pp. 252–257.

  120. Stan (M.R.),Burleson (W.P.), «Bus-invert coding for low-poweri/o»,ieee Trans.vlsi Syst.,3, pp. 49–58, Mar. 1995.

    Article  Google Scholar 

  121. Benini (L.),De Micheli (G.),Macii (E.),Poncino (M.),Quer (S.), «Power Optimization of Core-Based Systems by Address Bus Encoding»,ieee Trans.vlsi Systems,6 No4, December 1998.

  122. Lv (T.),Henkel (J.),Lekatsas (H.),Wolf (W.), «An Adaptive Dictionary Encoding Scheme for SoC Data Buses», inProc.date, March 4–8, 2002, pp. 1059–1064.

  123. Chillet (D.),Sentieys (O.),Corazza (M.), «Memory Unit Design for Real Timedsp Applications», inProc.gls-vlsi, Ann Arbor, Feb. 1999.

  124. Khare (A.),Panda (P.),Dutt (N.),Nicolau (A.), «High-Level Synthesis with Synchronous and RamBusdrams», inProc.sasimi98

  125. Chakrapani (L.N.),Korkmaz (P.),Mooney Ill (V.J.),Palem (K.V.),Puttaswamy (K.),Wong (W.F.), «The Emerging Power Crisis in Embedded Processors: What can a poor compiler do?», inProc.cases, 2001.

  126. Kandemir (M.), «A Compiler-Based Approach for Improving Intra-Iteration Data Reuse», inProc.date, March 4–8, 2002, Paris, pp. 984–990.

  127. Kim (H.S.),Irwin (M.J.),Vujaykrishnan (N.),Kandemir (M.), «Effect of Compiler Optimizations on Memory Energy», inProc. Workshop on Signal Processing System, October 2000.

  128. Chatzigeorgiou (A.),Kougia (S.),Nikolaidis (S.), «Evaluating the Effect of Data-Reuse Transformations on Processor Power Consumption», inProc. Int. Workshop onpatmos, 2001.

  129. Yang (H.),Gao (G. R.),Marquez (A.),Cai (G.),Hu (Z.), «Power and Energy Impact by Loop Transformations»,in Proc. Workshop oncolp, 2001, pp. 12-1/12-8.

  130. Grun (P.),Dutt (N.),Nicolau (A.), «Memory Aware Compilation through Accurate Timing Extraction», inProc. 37th Design Automation Conference (dac), pp. 316–321, June 2000.

  131. Benini (L.),Macii (A.),Macii (E.),Poncino (M.), «Synthesis of Application-Specific Memories for Power Optimization in Embedded Systems», inProc. 37thieee Design Automation Conference, June 2000, pp. 300–304.

  132. Pignolo (S.),Martin (E.),Julien (N.),Senn (E.),Saget (B.), «Optimisation de la consommation d’énergie des applications de Traitement du Signal et de l’image embarquées surdsp», inProc. 3èmes Journées francophones Faible Tension Faible Consommation, Paris, Juin 2001.

  133. Iris Bahar (R.),Albera (G.),Manne (S.), «Power and Performance Tradeoffs using Various Caching Strategies», InProc. Int. Symposium on Computer Architectureisca, 1998.

  134. Liveris (N.),Zervas (N.D.),Soudris (D.),Goutis (C.E.),» A Code Transformation-Based Methodology for Improving I-Cache Performance of DSP Applications», inProc.date, March 4–8, 2002, Paris, pp. 977–983.

  135. Corre (G.),Julien (N.),Senn (E.),Martin (E.), «Ordonnancement sous contrainte de mémorisation: une optimisation efficace des ressources lors de la synthèse d’architecture»,in Proc. 4e journées d’études Faible Tension Faible Consommation, 15–16 mai 2003, pp. 147–152.

  136. Rabaey (J. M.), «Managing Power-Dissipation in the Generation-after-Next Wireless Systems»,ftfc’99.

  137. ilinx web site, http://www.xilinx.com.

  138. Demigny (D.),Boudouani (N.),Abel (N.),Kessal (L.), «La rémanence des architectures reconfigurables: un critère significatif de classification des architectures», in Proc. Journées Francophones Adéquation Algorithme Architecture, 16–18 Décembre 2002, pp. 49–52.

  139. Acquaviva (A.),Benini (L.),Ricco (B.), «Energy characterization of embedded real-time operating systems»,Workshop on Compilers and Operating Systems for Low Power, 2001.

  140. Loumeau (P.),Naviner (J.F.),Petit (H.),Naviner (L.),Desgreys (P.), «Analog to digital conversion: technical aspects»,Annales des Télécommunications,57, no5–6, 2002, pp. 338–385.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Julien, N. Panorama des outils d’analyse et d’optimisation de la consommation dans les systèmes sur puce (SoC). Ann. Télécommun. 59, 903–937 (2004). https://doi.org/10.1007/BF03180027

Download citation

  • Received:

  • Accepted:

  • Issue Date:

  • DOI: https://doi.org/10.1007/BF03180027

Mot clés

Key words

Navigation