Abstract
Leakage has become one of the most dominant factors of power consumption and signal integrity of nanometer-scale integrated circuits. Recently, power-gating structures have proven to be effective in controlling leakage. In this paper an alternative dual-V th reduced power-gating structure is proposed for better reduction of leakage currents, especially for low-power and high-performance portable devices. The proposed technique maintains an intermediate power-saving state as well as the conventional power cut-off state. The experimental results have demonstrated that the proposed technique can significantly reduce leakage current and associated power consumptions during the HOLD and CUT-OFF modes. In addition, an analysis of ground bounce due to power-mode transition in power-gating structures is presented. It is demonstrated that the proposed technique provides a way to control ground bounce during power-mode transition. Due to the presence of the intermediate state, its stepwise turning on feature will provide higher reduction of the magnitudes of peak current and voltage glitches in the power distribution network as well as the minimum time required to stabilize power and ground as compared to other similar techniques.
Similar content being viewed by others
References
S. Abedinpour, B. Bakkaloglu, S. Kiaei, A multistage interleaved synchronous buck converter with integrated output filter in 0.18 um SiGe process. IEEE Trans. Power Electron. 22(6), 2164–2175 (2007)
A. Agarwal, C.H. Kim, S. Mukhopadhyay, K. Roy, Leakage in nano-scale technologies: mechanisms, impact and design considerations, in Proceedings of ACM/IEEE Design Automation Conference (DAC) (2004), pp. 6–11
K. Agarwal, H. Deogun, D. Sylvester, K. Nowka, Power gating with multiple sleep modes, in Proceedings of the 7th IEEE International Symposium on Quality Electronic Design (ISQED) (2006), pp. 637–641
M. Anis, S. Areibi, M. Mahmoud, M. Elmasry, Dynamic and leakage power reduction in MTCMOS circuits using an automated efficient gate clustering technique, in Proceedings of ACM/IEEE Design Automation Conference (DAC) (2002), pp. 480–485
S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi, V. De, Parameter variations and impact on circuits and microarchitecture, in Proceedings of ACM/IEEE Design Automation Conference (DAC) (2003), pp. 338–342
H. Chang, S. Sapatnekar, Full chip analysis of leakage power under process variations, including spatial correlations, in Proceedings of ACM/IEEE Design Automation Conference (DAC) (2005), pp. 523–528
Y. Chang, S.K. Gupta, M.A. Breuer, Analysis of ground bounce in deep sub-micron circuits, in Proceedings of 15th IEEE VLSI Test Symposium (1997), pp. 110–116
D.S. Chiou, S.H. Chen, S.C. Chang, C. Yeh, Timing driven power gating, in Proceedings of ACM/IEEE Design Automation Conference (DAC) (2006), pp. 121–124
D.S. Chiou, D.C. Juan, Y.T. Chen, S.C. Chang, Fine-grained sleep transistor sizing algorithm for leakage power minimization, in Proceedings of ACM/IEEE Design Automation Conference (DAC) (2007), pp. 81–86
M.H. Chowdhury, J. Gjanci, P. Khaled, Innovative power gating for leakage reduction, in Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS) (2008), pp. 1568–1571
M. Chowdhury, J. Gjanci, P. Khaled, Controlling ground bounce noise in power gating scheme for system-on-a-chip, in Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI) (2008), pp. 437–440
W. El-Essawy, D.H. Albonesi, B. Sinharoy, A microarchitectural-level step-power analysis tool, in Proceedings of International Symposium on Low-Power Electronics and Design (ISLPED) (2002), pp. 263–266
M. Felder, J. Ganger, Analysis of ground-bounce induced substrate noise coupling in low resistive bulk epitaxial process: design strategies to minimize noise effects on a mixed signal chip. IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process. 46(11), 1427–1436 (1999)
International Technology Roadmap for Semiconductors (ITRS) (2007)
C. Isci, A. Buyuktosunoglu, C. Cher, P. Bose, M. Martonosi, An analysis of efficient multi-core global power management policies: maximizing performance for a given power budget, in Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (2006), pp. 347–358
A. Kabbani, A.J. Al-Khalili, Estimation of ground bounce effects on CMOS circuits, components and packaging technologies. IEEE Trans. Compon. Packaging Manuf. Technol. 22(2), 316–325 (1999)
J. Kao, S. Narendra, A. Chandrakasan, MTCMOS hierarchical sizing based on mutual exclusive discharging patterns, in Proceedings of ACM/IEEE Design Automation Conference (DAC) (1998), pp. 495–500
S. Kim, S.V. Kosonocky, D.R. Knebel, Understanding and minimizing ground bounce during mode transition of power gating structures, in Proceedings of the IEEE International Symposium on Low Power Electronics and Design (ISLPED) (2003), pp. 22–25
S. Kim, S.V. Kosonocky, D.R. Knebel, K. Stawiasz, Experimental measurement of a novel power gating structure with intermediate power saving mode, in Proceedings of the IEEE International Symposium on Low Power Electronics and Design (ISLPED) (2004), pp. 20–25
W. Kim, M.S. Gupta, G.Y. Wei, D.M. Brooks, Enabling on-chip switching regulators for multi-core processors using current staggering, in Workshop on Architectural Support for Gigascale Integration, (held in conjunction with ISCA 2007) (2007)
W. Kim, M.S. Gupta, G.Y. Wei, D.M. Brooks, System level analysis of fast, per-core DVFS using on-chip switching regulators, in Proceedings of the IEEE 14th International Symposium on High-Performance Computer Architecture (HPCA) (2008), pp. 123–134
K. Kumagai, H. Iwaki, H. Yoshida, H. Suzuki, T. Yamada, S. Kurosawa, A novel powering-down scheme for low Vt CMOS circuits. Digest of Technical Papers, 1998 Symposium on VLSI Circuits, pp. 44–45, June 1998
C. Long, L. He, Distributed sleep transistor network for power reduction, in Proceedings of ACM/IEEE Design Automation Conference (DAC) (2003), pp. 181–186
C. McNairy, R. Bhatia, Montecito—The Next Product in the Itanium(R) Processor Family. Hot Chips 16, August 2004
S. Mutoh, S. Shigematsu, Y. Matsuya, H. Fukuda, T. Kaneko, J. Yamada, A 1-V multithreshold-voltage CMOS digital signal processor for mobile phone application. IEEE J. Solid-State Circuits (JSSC) 31(11), 1795–1802 (1996)
K. Nii, H. Makino, Y. Tujihashi, C. Morishima, Y. Hayakawa, H. Nunogami, T. Arakawa, H. Hamano, A low power SRAM using auto-backgate-controlled MT-CMOS, in Proceedings of the IEEE International Symposium on Low Power Electronics and Design (ISLPED) (1998), pp. 293–298
K. Olukotun, B.A. Nayfeh, L. Hammond, K. Wilson, K.-Y. Chang, The case for a single-chip multiprocessor, in Proceedings of the 7th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS VII) (1996)
M.D. Pant, P. Pant, D.S. Wills, V. Tiwari, An architectural solution for the inductive noise problem due to clock-gating, in Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS) (1999), pp. 255–257
K. Roy, S. Mukhopadhyay, H. Mahmoodi-Meimand, Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits, in Proceedings of the IEEE, vol. 91, no. 2 (2003), pp. 305–327
G. Schrom, et al., A 480-MHz, multi-phase interleaved buck DC-DC converter with hysteretic control, in Proceedings of the IEEE 35th Annual Power Electronics Specialist Conference (2004), pp. 4702–4707
K. Shi, D. Howard, Challenges in sleep transistor design and implementation in low-power designs, in Proceedings of ACM/IEEE Design Automation Conference (DAC) (2006), pp. 113–116
S. Sirichotiyakul et al., Standby power minimization through simultaneous threshold voltage and circuit sizing, in Proceedings of ACM/IEEE Design Automation Conference (DAC) (1999), pp. 436–441
K.T. Tang, E.G. Friedman, On-chip ΔI noise in the power distribution networks of high speed CMOS integrated circuit, in Proceedings of IEEE International ASIC/SOC Conference (2000), pp. 53–57
K. Usami, M. Horowitz, Cluster voltage scaling technique for low power design, in Proceedings of the IEEE International Symposium on Low Power Electronics and Design (ISLPED) (1995), pp. 3–8
Author information
Authors and Affiliations
Corresponding author
Rights and permissions
About this article
Cite this article
Chowdhury, M.H., Khaled, P. & Gjanci, J. An Innovative Power-Gating Technique for Leakage and Ground Bounce Control in System-on-a-Chip (SOC). Circuits Syst Signal Process 30, 89–105 (2011). https://doi.org/10.1007/s00034-010-9211-7
Received:
Revised:
Published:
Issue Date:
DOI: https://doi.org/10.1007/s00034-010-9211-7