Skip to main content
Log in

A Unified Delay, Power and Crosstalk Model for Current Mode Signaling Multiwall Carbon Nanotube Interconnects

  • Published:
Circuits, Systems, and Signal Processing Aims and scope Submit manuscript

Abstract

Multiwall carbon nanotube (MWCNT) has been investigated as a potential interconnect material for future advanced technology nodes. The present paper analyzes performance of MWCNT interconnects using current mode signaling (CMS) scheme. The novelty of the present work can be stated as: Firstly, a unified model is proposed for both copper and MWCNT interconnects using finite-difference time-domain (FDTD) technique. Secondly, this model is applicable for both the conventional voltage mode signaling and more versatile CMS schemes. Furthermore, the presented FDTD-based model is valid for single as well as M-line coupled interconnects in integrated circuits. The model also incorporates CMOS gate as driver for MWCNT interconnect. Thirdly, power model using FDTD technique is investigated for the first time. Accurate formulation and computation of power dissipation in CMS MWCNT interconnects are presented in the paper. Propagation delay, power dissipation and power_delay_product (PDP) are the performance metrics considered for single-line CMS MWCNT interconnect. Crosstalk is analyzed for 2-Line and 5-Line coupled interconnects. It is investigated that CMS scheme leads to about 4 times lesser propagation delay and 2.5 times reduced PDP in MWCNT interconnect than the conventional copper interconnect for interconnect length of 4500 \(\upmu \)m. The technology node considered is 32 nm. The response of the system is accurately computed using the proposed FDTD-based model. The maximum percentage error between results obtained by the proposed analytical model and SPICE simulation model is <3% for the various test cases.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11

Similar content being viewed by others

References

  1. Y. Agrawal, R. Chandel, Crosstalk analysis of current-mode signalling-coupled RLC interconnects using FDTD technique. IETE Tech. Rev. 33(2), 148–159 (2016)

    Article  Google Scholar 

  2. Y. Agrawal, R. Chandel, R. Dhiman, High performance current mode receiver design for on-chip VLSI interconnects, in Proceedings of the Springer International Conference on ICA. Series: Advances in Intelligent Systems and Computing, Durgapur, vol 343, Chapter 54 (2015), pp. 527–536

  3. P. Avouris, Z. Chen, V. Perebeinos, Carbon-based electronics. Nat. Nanotechnol. 2(10), 605–613 (2007)

    Article  Google Scholar 

  4. R. Bashirullah, W. Liu, R.K. Cavin, Current-mode signaling in deep submicrometer global interconnects. IEEE Trans. Very Large Scale Integr. Syst. 11(3), 406–417 (2003)

    Article  Google Scholar 

  5. Q. Cao, J.A. Rogers, Ultrathin films of single-walled carbon nano-materials for electronics and sensors: a review of fundamental and applied aspects. Adv. Mater. 21(1), 29–53 (2009)

    Article  Google Scholar 

  6. Carbon Nanotube Interconnect Analyzer (CNIA), https://nanohub.org/resources/cnia

  7. R. Chandel, S. Sarkar, R.P. Agarwal, An analysis of interconnect delay minimization by low-voltage repeater insertion. Microelectron. J. 38(4–5), 649–655 (2007)

    Article  Google Scholar 

  8. M. Chernobryvko, D. De Zutter, D.V. Ginste, Nonuniform multiconductor transmission line analysis by a two-step perturbation technique. IEEE Trans. Compon. Packag. Manuf. Technol. 4(1), 1838–1846 (2014)

    Article  Google Scholar 

  9. M.H. Chowdhury, P. Khaled, J. Gjanci, An innovative power gating technique for leakage and ground bounce control in system-on-a-chip (SOC). Circuits Syst. Signal Process. 30(1), 89–105 (2011)

    Article  MATH  Google Scholar 

  10. J.P. Cui, W.S. Zhao, W.Y. Yin, J. Hu, Signal transmission analysis of multilayer graphene nano-ribbon (MLGNR) interconnects. IEEE Trans. Electromagn. Compat. 54(1), 126–132 (2012)

    Article  Google Scholar 

  11. D. Das, H. Rahaman, Analysis of crosstalk in single- and multiwall carbon nanotube interconnects and its impact on gate oxide reliability. IEEE Trans. Nanotechnol. 10(6), 1362–1370 (2011)

    Article  Google Scholar 

  12. M. Dave, M. Jain, M.S. Baghini, D. Sharma, A variation tolerant current mode signaling scheme for on-chip interconnects. IEEE Trans. Very Large Scale Integr. Syst. 21(2), 342–353 (2013)

    Article  Google Scholar 

  13. R. Dhiman, R. Chandel, Dynamic crosstalk analysis in coupled interconnects for ultra-low power applications. Circuits Syst. Signal Process. 34(1), 21–40 (2015)

    Article  MathSciNet  Google Scholar 

  14. M.K. Gowan, L.L. Biro, D.B. Jackson, Power considerations in the design of the Alpha 21264 microprocessor, in Proceedings IEEE Design Automation Conference, San Francisco (1998), pp. 726–731

  15. International Technology Roadmap for Semiconductors (ITRS), http://public.itrs.net

  16. A. Javey, J. Kong, Carbon Nanotube Electronics (Springer, Berlin, 2009)

    Google Scholar 

  17. W. Jin, H. Yoo, Y. Eo, Non-uniform multi-layer IC interconnect transmission line characterization for fast signal transient simulation of high-speed/high-density VLSI circuits. IEICE Trans. Electron. E82–C(6), 955–966 (1999)

    Google Scholar 

  18. S.M. Kang, Y. Leblebici, CMOS Digital Integrated Circuits (TMH, New Delhi, 2003)

    Google Scholar 

  19. V.R. Kumar, B.K. Kaushik, A. Patnaik, Crosstalk noise modeling of multiwall carbon nanotube (MWCNT) interconnects using finite-difference time-domain (FDTD) technique. Microelectron. Rel. 55(1), 155–163 (2015)

    Article  Google Scholar 

  20. H. Li, K. Banerjee, Carbon nanomaterials for next-generation interconnects and passives: physics, status, and prospects. IEEE Trans. Electron Devices 56(9), 1799–1821 (2009)

    Article  Google Scholar 

  21. H. Li, K. Banerjee, High-frequency analysis of carbon nanotube interconnects and implications for on-chip inductor design. IEEE Trans. Electron Devices 56(10), 2202–2214 (2009)

    Article  Google Scholar 

  22. H. Li, W.Y. Yin, K. Banerjee, J.F. Mao, Circuit modeling and performance analysis of multi-walled carbon nanotube interconnects. IEEE Trans. Electron Devices 55(6), 1328–1337 (2008)

    Article  Google Scholar 

  23. F. Liang, G. Wang, H. Lin, Modeling of crosstalk effects in multiwall carbon nanotube interconnects. IEEE Trans. Electromag. Compat. 54(1), 133–139 (2012)

    Article  Google Scholar 

  24. M.K. Majumder, P.K. Das, B.K. Kaushik, Delay and crosstalk reliability issues in mixed MWCNT bundle interconnects. Microelectron. Rel. 54(11), 2570–2577 (2014)

    Article  Google Scholar 

  25. A. Naeemi, J.D. Meindl, Performance modeling for single- and multiwall carbon nanotubes as signal and power interconnects in gigascale systems. IEEE Trans. Electron Devices 55(10), 2574–2582 (2008)

    Article  Google Scholar 

  26. S.H. Nasiri, M.K.M. Farshi, R. Faez, Stability analysis in graphene nanoribbon interconnects. IEEE Electron Device Lett. 31(12), 1458–1460 (2010)

    Article  Google Scholar 

  27. K.S. Novoselov et al., Electric field effect in atomically thin carbon films. Science 306(5696), 666–669 (2004)

    Article  Google Scholar 

  28. J.Y. Park et al., Electron–phonon scattering in metallic single-walled carbon nanotubes. Nano Lett. 4(3), 517–520 (2004)

    Article  Google Scholar 

  29. C.R. Paul, Incorporation of terminal constraints in the FDTD analysis of transmission lines. IEEE Trans. Electromag. Compat. 36(2), 85–91 (1994)

    Article  Google Scholar 

  30. Predictive Technology Models (PTM), http://ptm.asu.edu

  31. M. Sahoo, P. Ghosal, H. Rahaman, Performance modeling and analysis of carbon nanotube bundles for future VLSI circuit applications. J. Comput. Electron. 13(3), 673–688 (2014)

    Article  Google Scholar 

  32. T. Sakurai, A.R. Newton, A simple MOSFET model for circuit analysis. IEEE Trans. Electron Devices 38(4), 887–894 (1991)

    Article  Google Scholar 

  33. M.S. Sarto, A. Tamburrano, Single-conductor transmission line model of multiwall carbon nanotubes. IEEE Trans. Nanotechnol. 9(1), 82–92 (2010)

    Article  Google Scholar 

  34. M. Tang, J.F. Mao, Transient analysis of lossy nonuniform transmission lines using a time-step integration method. Prog. Electromag. Res. 69, 257–266 (2007)

    Article  Google Scholar 

  35. Tanner EDA tools, http://www.tannereda.com

  36. M. Tiang, J. Mao, Modeling and fast simulation of multiwalled carbon nanotube interconnects. IEEE Trans. Electromag. Compat. 57(2), 232–240 (2015)

    Article  Google Scholar 

  37. S. Tuuna, E. Nigussie, J. Isoaho, H. Tenhunen, Modeling of energy dissipation in RLC current-mode signaling. IEEE Trans. Very Large Scale Integr. Syst. 20(6), 1146–1151 (2012)

    Article  Google Scholar 

  38. B.Q. Wei, R. Vajtai, P.M. Ajayan, Reliability and current carrying capacity of carbon nanotubes. Appl. Phys. Lett. 79(14), 3128–3131 (2001)

    Google Scholar 

  39. S.C. Wong, G.Y. Lee, D.J. Ma, Modeling of interconnect capacitance, delay and crosstalk in VLSI. IEEE Trans. Semicond. Manuf. 13(1), 108–111 (2000)

    Article  Google Scholar 

  40. F. Yuan, CMOS Current Mode Circuits for Data Communication (Springer, Berlin, 2007)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Yash Agrawal.

Appendices

Appendix 1

The parasitic elements of MWCNT interconnect can be defined as:

The lumped resistance (\(R_\mathrm{lump}\)) comprises of quantum resistance (\(R_\mathrm{q}\)) which is due to quantum confinement of carriers along the interconnect dimensions. The contact resistance (\(R_\mathrm{c}\)) is due to imperfect contact between the interconnect and substrate. \(R_\mathrm{c}\) depends on the fabrication process and varies from 1 to 20 K\(\Omega \) [10]. \(R_\mathrm{lump}\) is expressed as [19]

$$\begin{aligned} R_\mathrm{lump} =\left[ {\sum _{i=1}^N {\left( {\frac{h}{2e^{2}\cdot N_\mathrm{ch}^i}+R_\mathrm{c}^i} \right) } ^{-1}} \right] ^{-1} \end{aligned}$$
(34)

where h is Planck’s constant and e represents charge on electron.

\(R_\mathrm{lump}\) is distributed equally along the two ends of the interconnect as \(R_\mathrm{lump}^{\prime } \). It is presented as

$$\begin{aligned} R_\mathrm{lump}^{\prime } =\frac{R_\mathrm{lump}}{2} \end{aligned}$$
(35)

The distributed resistance (\(R_\mathrm{dis}\)) in the ESC model represents the scattering resistance per unit length (p.u.l.) [22]. It is primarily due to optical and acoustic phonon scattering. \(R_\mathrm{dis}\) is predominant when interconnect length is greater than electron mean free path [28]. It is defined as [23]

$$\begin{aligned} R_\mathrm{dis} =\sum _{i=1}^N {\left( {\frac{h}{2e^{2}\cdot N_\mathrm{ch}^i \cdot \lambda _i}} \right) } \end{aligned}$$
(36)

where \(\lambda _{i}\) corresponds to effective electron mean free path of ith shell and is obtained as [24]

$$\begin{aligned} \lambda _i =\frac{10^{3}d_i}{\left( {T/{T_0}} \right) -2} \end{aligned}$$
(37)

where \(T_{0}\)=100 K

The distributed inductance (\(L_\mathrm{dis}\)) comprises of kinetic inductance p.u.l. (\(L_\mathrm{k}\)) and magnetic inductance p.u.l. (\(L_\mathrm{m}\)).

The kinetic inductance p.u.l. per channel (\(L_\mathrm{k/channel}\)) is given as [19]

$$\begin{aligned} L_\mathrm{k/channel} =\left( {\frac{h}{2e^{2}v_\mathrm{f}}} \right) \left( {\frac{1}{2}} \right) \end{aligned}$$
(38)

where \(v_\mathrm{f}\) is Fermi velocity.

Using \(L_\mathrm{k/channel}\), kinetic inductance p.u.l. per shell (\(L_\mathrm{k/shell}\)) is computed.

$$\begin{aligned} L_\mathrm{k/shell}^i =\left( {\frac{L_\mathrm{k/channel}}{N_\mathrm{ch}^i}} \right) ;\quad 1\le i\le N \end{aligned}$$
(39)

The p.u.l. mutual shell to shell inductance (\(L_\mathrm{m\_shell\_shell}\)) is defined as [23]

$$\begin{aligned} L_\mathrm{m\_shell\_shell}^{i,i+1} =\frac{\mu }{2\pi }\ln \left( {\frac{d_{i+1}}{d_i}} \right) ;\quad 1\le i\le N-1 \end{aligned}$$
(40)

The equivalent kinetic inductance p.u.l. of ith shell (\(L_\mathrm{equ}^i\)) is obtained using recursive expression as [23]

$$\begin{aligned} L_\mathrm{equ}^i =\left[ {\frac{1}{L_\mathrm{equ}^{i-1} +L_\mathrm{m\_shell\_shell}^{i-1,i}}+\frac{1}{L_\mathrm{k/shell}^i}} \right] ^{-1};\quad 2\le i\le N \end{aligned}$$
(41)

where

$$\begin{aligned} L_\mathrm{equ}^1 =L_\mathrm{k/shell}^1 \end{aligned}$$
(42)

The equivalent kinetic inductance p.u.l. of MWCNT interconnects (\(L_\mathrm{k}\)) is given by:

$$\begin{aligned} L_\mathrm{k} =L_\mathrm{equ}^N \end{aligned}$$
(43)

The equivalent magnetic inductance p.u.l. of MWCNT interconnect (\(L_\mathrm{m}\)) is computed as [24].

$$\begin{aligned} L_\mathrm{m} =\frac{\mu }{2\pi }\cosh ^{-1}\left( {\frac{d_N +2h_\mathrm{g}}{d_N}} \right) \end{aligned}$$
(44)

where \(d_{N}\) is the outermost shell diameter of MWCNT and \(h_\mathrm{g}\) represents the distance between MWCNT and ground plane.

The equivalent inductance in the ESC model (\(L_\mathrm{dis}\)) is computed as

$$\begin{aligned} L_\mathrm{dis} =L_\mathrm{k} +L_\mathrm{m} \end{aligned}$$
(45)

Similarly, distributed capacitance (\(C_\mathrm{dis}\)) of MWCNT in the ESC model is obtained. It comprises of p.u.l. quantum capacitance (\(C_\mathrm{q}\)), and electrostatic capacitance (\(C_\mathrm{e}\)). \(C_\mathrm{dis}\) is expressed as

$$\begin{aligned} C_\mathrm{dis} =\frac{C_\mathrm{q} \cdot C_\mathrm{e}}{C_\mathrm{q} +C_\mathrm{e}} \end{aligned}$$
(46)

\(C_\mathrm{e}\) is obtained as [24]

$$\begin{aligned} C_\mathrm{e} =\frac{2\pi \varepsilon }{\cosh ^{-1}\left( {\frac{d_N +h_\mathrm{g}}{d_N}} \right) } \end{aligned}$$
(47)

\(C_\mathrm{q}\) is obtained by solving recursive formulae given below [23]

$$\begin{aligned} C_\mathrm{equ}^i= & {} \left[ {\frac{1}{C_\mathrm{equ}^{i-1} }+\frac{1}{C_\mathrm{c\_shell\_shell}^{i-1,i}}} \right] ^{-1}+C_\mathrm{q/shell}^i ;\quad 2\le i\le N \end{aligned}$$
(48)
$$\begin{aligned} C_\mathrm{equ}^1= & {} C_\mathrm{q/shell}^1 \end{aligned}$$
(49)
$$\begin{aligned} C_\mathrm{q}= & {} C_\mathrm{equ}^N \end{aligned}$$
(50)

where \(C_\mathrm{equ}^i \)is the equivalent capacitance of ith shell. \(C_\mathrm{q/shell} \) and \(C_\mathrm{c\_shell\_shell}\) are p.u.l. quantum capacitance per shell and coupling capacitance between shells of MWNCT interconnect, respectively [11]. These are defined as [19]

$$\begin{aligned} C_\mathrm{q/shell}^i= & {} 2N_\mathrm{ch}^i \left( {\frac{2e^{2}}{hv_\mathrm{f}}} \right) ;\quad 1\le i\le N \end{aligned}$$
(51)
$$\begin{aligned} C_\mathrm{c\_shell\_shell}^{i,i+1}= & {} \frac{2\pi \varepsilon }{\ln \left( {{d_{i+1}}/{d_i}} \right) };\quad 1\le i\le N-1 \end{aligned}$$
(52)

The p.u.l. mutual inductance (\(M_\mathrm{i}\)) and coupling capacitance (\(C_\mathrm{c}\)) between two parallel MWCNT interconnects are given as [11, 21, 24, 31]

$$\begin{aligned} M_\mathrm{i}= & {} \frac{\mu }{2\pi }\left[ {\ln \left( {\frac{l}{h_\mathrm{c} }+\sqrt{1+\left( {\frac{l}{h_\mathrm{c}}} \right) ^{2}}} \right) -\sqrt{1+\left( {\frac{h_\mathrm{c}}{l}} \right) ^{2}}+\frac{h_\mathrm{c}}{l}} \right] \end{aligned}$$
(53)
$$\begin{aligned} C_\mathrm{c}= & {} \frac{\pi \varepsilon }{\ln \left( {\frac{s_p}{d_N}+\sqrt{\left( {\frac{s_p}{d_N}} \right) ^{2}+1}} \right) } \end{aligned}$$
(54)

where l is the length of interconnect and \(s_{p}\) is the separation between two interconnects. \(h_\mathrm{c}\) is the center-to-center distance between two interconnects and equals to (\(s_{p}+d_{N}\)).

Appendix 2

The parasitic elements for copper interconnects can be defined as [30, 39]:

$$\begin{aligned} R_\mathrm{dis}= & {} \frac{\rho }{w\cdot t} \end{aligned}$$
(55)
$$\begin{aligned} L_\mathrm{dis}= & {} \frac{\mu }{2\pi }\left[ {\ln \left( {\frac{2l}{w+t}} \right) +\frac{1}{2}+\frac{0.22\left( {w+t} \right) }{l}} \right] \end{aligned}$$
(56)
$$\begin{aligned} C_\mathrm{dis}= & {} \varepsilon \left[ {\begin{array}{l} \frac{w}{h_\mathrm{g}}+2.22\left( {\frac{s_p}{s_p +0.7h_\mathrm{g}}} \right) ^{3.19} \\ +1.17\left( {\frac{s_p}{s_p +1.51h_\mathrm{g}}} \right) ^{0.76}\cdot \left( {\frac{t}{t+4.53h_\mathrm{g}}} \right) ^{0.12} \\ \end{array}} \right] \end{aligned}$$
(57)
$$\begin{aligned} M_\mathrm{i}= & {} \frac{\mu }{2\pi }\left[ {\ln \left( {\frac{2l}{h_\mathrm{c}}} \right) -1+\frac{h_\mathrm{c}}{l}} \right] \end{aligned}$$
(58)
$$\begin{aligned} C_\mathrm{c}= & {} \varepsilon \left[ {\begin{array}{l} 1.14\left( {\frac{t}{s_p}} \right) \left( {\frac{h_\mathrm{g}}{h_\mathrm{g} +2.06s_p}} \right) ^{0.09}+0.74\left( {\frac{w}{w+1.59s_p}} \right) ^{1.14} \\ +1.16\left( {\frac{w}{w+1.87s_p}} \right) ^{0.16}\cdot \left( {\frac{h_\mathrm{g}}{h_\mathrm{g} +0.98s_p}} \right) ^{1.18} \\ \end{array}} \right] \end{aligned}$$
(59)

where \(\rho \) is resistivity of copper material. w and t are width and thickness of the copper interconnect. All other parameters for copper interconnects in (55)–(59) have their usual meaning as that for MWCNT interconnect. \(R_\mathrm{lump}^{\prime }\)in the ESC model for copper interconnect is zero.

Appendix 3

The Telegraph’s equations are given as [29]:

$$\begin{aligned} \frac{\partial \left[ V \right] }{\partial z}+\left[ L \right] \frac{\partial \left[ I \right] }{\partial t}+\left[ R \right] \left[ I \right]= & {} 0 \end{aligned}$$
(60)
$$\begin{aligned} \frac{\partial \left[ I \right] }{\partial z}+\left[ C \right] \frac{\partial \left[ V \right] }{\partial t}= & {} 0 \end{aligned}$$
(61)

where [V] and [I] are \(M \times 1\) voltage and current variables along interconnect and are function of position and time. [R], [L] and [C] are \(M \times M\) dimensional p.u.l. interconnect parasitics. These are given as

$$\begin{aligned} \left[ R \right]= & {} \hbox {diag}\left( {R_\mathrm{dis}^1,R_\mathrm{dis}^2 ,\cdots ,R_\mathrm{dis}^M} \right) , \\ \left[ L \right]= & {} \left( {{\begin{array}{lllll} {L_\mathrm{dis}^1}&{} {M_\mathrm{i}^{1,2}}&{} {M_\mathrm{i}^{1,3}}&{} \ldots &{} {M_\mathrm{i}^{1,M}} \\ {M_\mathrm{i}^{2,1}}&{} {L_\mathrm{dis}^2}&{} {M_\mathrm{i}^{2,3}}&{} \cdots &{} {M_\mathrm{i}^{2,M}} \\ \vdots &{} \vdots &{} \ddots &{} \vdots &{} \vdots \\ {M_\mathrm{i}^{M,1}}&{} {M_\mathrm{i}^{M,2}}&{} {M_\mathrm{i}^{M,3}}&{} \cdots &{} {L_\mathrm{dis}^M} \\ \end{array}}} \right) \hbox {and} \end{aligned}$$
$$\begin{aligned} \left[ C \right] =\left( {{\begin{array}{lllll} {C_\mathrm{dis}^1 +\sum \limits _{j=2}^M {C_\mathrm{c}^{1,j}}}&{} {-C_\mathrm{c}^{1,2}}&{} {-C_\mathrm{c}^{1,3}}&{} \ldots &{} {-C_\mathrm{c}^{1,M}} \\ {-C_\mathrm{c}^{2,1}}&{} {C_\mathrm{dis}^2 +\sum _{j=1, 3}^M {C_\mathrm{c}^{2,j}}}&{} {-C_\mathrm{c}^{2,3}}&{} \cdots &{} {-C_\mathrm{c}^{2,M}} \\ \vdots &{} \vdots &{} \ddots &{} \vdots &{} \vdots \\ {-C_\mathrm{c}^{M,1}}&{} {-C_\mathrm{c}^{M,2}}&{} {-C_\mathrm{c}^{M,3}}&{} \ldots &{} {C_\mathrm{dis}^M +\sum \limits _{j=1}^{M-1} {C_\mathrm{c}^{M,j}}} \\ \end{array}}} \right) \end{aligned}$$
(62)

where \(R_\mathrm{dis}\), \(L_\mathrm{dis}\) and \(C_\mathrm{dis}\) are distributed resistance, inductance and capacitance, respectively, of MWCNT/copper interconnect in ESC model. \(M_\mathrm{i}\) and \(C_\mathrm{c}\) represent p.u.l. mutual inductance and coupling capacitance between two parallel MWCNT/copper interconnects.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Agrawal, Y., Kumar, M.G. & Chandel, R. A Unified Delay, Power and Crosstalk Model for Current Mode Signaling Multiwall Carbon Nanotube Interconnects. Circuits Syst Signal Process 37, 1359–1382 (2018). https://doi.org/10.1007/s00034-017-0614-6

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00034-017-0614-6

Keywords

Navigation