Skip to main content
Log in

Ultra-Compact Imprecise 4:2 Compressor and Multiplier Circuits for Approximate Computing in Deep Nanoscale

  • Published:
Circuits, Systems, and Signal Processing Aims and scope Submit manuscript

Abstract

Due to the advancement of technology and the reduction in chip dimensions to achieve circuits with lower energy consumption, the design of fast integrated circuits with low power consumption has become very important. Approximate computing is one of the most attractive paradigms for reducing energy dissipation. In this article, a hybrid approximate 4:2 compressor and an imprecise multiplier with high speed and low power consumption are proposed. The suggested compressor has a very simple structure while providing an acceptable quality. The innovative design approach of the suggested hybrid approximate compressor simplifies the structure of the proposed imprecise multiplier and makes an excellent trade-off between energy efficiency and quality. The proposed designs are simulated using HSPICE with 7-nm FinFET technology. The simulation results indicate the superiority of the proposed approach regarding various performance parameters compared to the state-of-the-art counterparts. The hybrid compressor improves delay, power, power-delay product (PDP), energy-delay product (EDP), and transistor count on average by 35%, 67%, 77%, 83%, and 67%, respectively, compared to the other compressors. Furthermore, these improvements are 55%, 64%, 84%, 92%, and 60%, respectively, compared to the other approximate multipliers.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8

Similar content being viewed by others

Availability of data and materials

The datasets generated during and/or analyzed during the current study are available from the corresponding author on reasonable request.

References

  1. M. Ahmadinejad, M.H. Moaiyeri, F. Sabetzadeh, Energy and area efficient imprecise compressors for approximate multiplication at nanoscale. Int. J. Electron. Commun. 110, 152859 (2019). https://doi.org/10.1016/j.aeue.2019.152859

    Article  Google Scholar 

  2. O. Akbari, M. Kamal, A. Afzali-Kusha, M. Pedram, Dual-quality 4:2 compressors for utilizing in dynamic accuracy configurable multipliers. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 25(4), 1352–1361 (2017). https://doi.org/10.1109/TVLSI.2016.2643003

    Article  Google Scholar 

  3. M.S. Ansari, H. Jiang, B.F. Cockburn, J. Han, Low-power approximate multipliers using encoded partial products and approximate compressors. IEEE J. Emerg. Sel. Topics Circuits Syst. 8(3), 404–416 (2018). https://doi.org/10.1109/JETCAS.2018.2832204

    Article  Google Scholar 

  4. A. Arasteh, M.H. Moaiyeri, M.R. Taheri, K. Navi, N. Bagherzadeh, An energy and area efficient 4:2 compressor based on FinFETs. Integration 60, 224–231 (2018). https://doi.org/10.1016/j.vlsi.2017.09.010

    Article  Google Scholar 

  5. C.H. Chang, J. Gu, M. Zhang, Ultra low-voltage low-power CMOS 4–2 and 5–2 compressors for fast arithmetic circuits. IEEE Trans. Circuits Syst. I Regul. Pap. 51(10), 1985–1997 (2004). https://doi.org/10.1109/TCSI.2004.835683

    Article  Google Scholar 

  6. L. Clark, V. Vashishtha, L. Shifren, A. Gujja, S. Sinha, B. Cline, C. Ramamurthy, G. Yeric, ASAP7:A7-nm finFET predictive process design kit. Microelectron. J. 53(11), 105–115 (2016). https://doi.org/10.1016/j.mejo.2016.04.006

    Article  Google Scholar 

  7. P.J. Edavoor, S. Raveendran, A.D. Rahulkar, Approximate multiplier design using novel dual-stage 4:2 compressors. IEEE Access 8, 48337–48351 (2020). https://doi.org/10.1109/ACCESS.2020.2978773

    Article  Google Scholar 

  8. A. Goratla, P. Deepa, Design of approximate compressors for multiplication. ACM J. Emerg. Technol. Comput. Syst. 13, 1–17 (2017). https://doi.org/10.1145/3007649

    Article  Google Scholar 

  9. S.K. Gupta, K. Roy, Low power robust FinFET-based SRAM design in scaled technologies, in Circuit design for reliability, pp. 223–253 (2015). https://doi.org/10.1007/978-1-4614-4078-9_11

  10. T. Gupta, J.B. Sharma, An efficient FIR filter structure based on technology-optimized multiply-adder unit targeting LUT-based FPGAs. Circuits Syst. Signal Process. 36(2), 600–639 (2017). https://doi.org/10.1007/s00034-016-0312-9

    Article  Google Scholar 

  11. M. Ha, S. Lee, Multipliers with approximate 4–2 compressors and error recovery modules. IEEE Embed. Syst. Lett. 10(1), 6–9 (2018). https://doi.org/10.1109/LES.2017.2746084

    Article  Google Scholar 

  12. V. Leon, G. Zervakis, S. Xydis, D. Soudris, K. Pekmestzi, Walking through the energy-error Pareto frontier of approximate multipliers. IEEE Micro 38(4), 40–49 (2018). https://doi.org/10.1109/MM.2018.043191124

    Article  Google Scholar 

  13. J. Liang, J. Han, F. Lombardi, New metrics for the reliability of approximate and probabilistic adders. IEEE Trans. Comput. 62(9), 1760–1771 (2013). https://doi.org/10.1109/TC.2012.146

    Article  MathSciNet  MATH  Google Scholar 

  14. M.H. Moaiyeri, F. Sabetzadeh, S. Angizi, An efficient majority-based compressor for approximate computing in the nano era. Microsyst. Technol. 24(3), 1589–1601 (2018). https://doi.org/10.1007/s00542-017-3587-2

    Article  Google Scholar 

  15. A. Momeni, J. Han, P. Montuschi, F. Lombardi, Design and analysis of approximate compressors for multiplication. IEEE Trans. Comput. 64(4), 984–994 (2015). https://doi.org/10.1109/TC.2014.2308214

    Article  MathSciNet  MATH  Google Scholar 

  16. K.M. Reddy, M.H. Vasantha, Y.B. Nithin Kumar, D. Dwivedi, Design and analysis of multiplier using approximate 4–2 compressor. AEU Int. J. Electron. Commun. 107, 89–97 (2019). https://doi.org/10.1016/j.aeue.2019.05.021

    Article  Google Scholar 

  17. M. Rezaei Khezeli, M.H. Moaiyeri, A. Jalali, Comparative analysis of simultaneous switching noise effects in MWCNT bundle and Cu power interconnects in CNTFET-BASED TERNARY CIRCUITS. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 27(1), 37–46 (2019). https://doi.org/10.1109/TVLSI.2018.2869761

    Article  Google Scholar 

  18. F. Sabetzadeh, M.H. Moaiyeri, M. Ahmadinejad, A majority-based imprecise multiplier for ultra-efficient approximate image multiplication. IEEE Trans. Circuits Syst. I Regul. Pap. 66(11), 4200–4208 (2019). https://doi.org/10.1109/TCSI.2019.2918241

    Article  Google Scholar 

  19. A. Sadeghi, N. Shiri, M. Rafiee, High-efficient, ultra-low-power and high-speed 4:2 compressor with a new full adder cell for bioelectronics applications. Circuits Syst. Signal Process. (2020). https://doi.org/10.1007/s00034-020-01459-x

    Article  Google Scholar 

  20. S. Salahuddin, H. Jiao, V. Kursun, A novel 6T SRAM cell with asymmetrically gate underlap engineered FinFETs for enhanced read data stability and write ability, in International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA, pp 353–358 (2013). https://doi.org/10.1109/ISQED.2013.6523634.

  21. S. Venkatachalam, S. Ko, Design of Power and Area Efficient Approximate Multipliers. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 25(5), 1782–1786 (2017). https://doi.org/10.1109/TVLSI.2016.2643639

    Article  Google Scholar 

  22. Z. Wang, A.C. Bovik, H.R. Sheikh, E.P. Simoncelli, Image quality assessment: from error visibility to structural similarity. IEEE Trans. Image Process. 13(4), 600–612 (2004). https://doi.org/10.1109/TIP.2003.819861

    Article  Google Scholar 

  23. C. Xu, Y. Zheng, D. Niu, X. Zhu, S.H. Kang, Y. Xie, Impact of write pulse and process variation on 22 nm FinFET-based STT-RAM design: a device-architecture co-optimization approach. IEEE Trans. Multi-Scale Comput. Syst. 1(4), 195–206 (2015). https://doi.org/10.1109/TMSCS.2015.2509960

    Article  Google Scholar 

  24. Z. Yang, J. Han, F. Lombardi, Approximate compressors for error-resilient multiplier design, in IEEE international symposium on defect and fault tolerance in VLSI and nanotechnology systems (DFTS), Amherst, MA, pp. 183–186 (2015). https://doi.org/10.1109/DFT.2015.7315159.

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mohammad Hossein Moaiyeri.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Salmanpour, F., Moaiyeri, M.H. & Sabetzadeh, F. Ultra-Compact Imprecise 4:2 Compressor and Multiplier Circuits for Approximate Computing in Deep Nanoscale. Circuits Syst Signal Process 40, 4633–4650 (2021). https://doi.org/10.1007/s00034-021-01688-8

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00034-021-01688-8

Keywords

Navigation