Skip to main content
Log in

A Hardware- and Accuracy-Efficient Approximate Multiplier with Error Compensation for Neural Network and Image Processing Applications

  • Published:
Circuits, Systems, and Signal Processing Aims and scope Submit manuscript

Abstract

Approximate computing is a promising method for reducing energy dissipation and design complexity in various applications, where high accuracy is not a significant need. This study proposes an efficient approximate multiplier using a full adder as an approximate 4:2 compressor. This simplification reduces power and hardware overheads. While this technique reduces the accuracy to some extent, the multiplier is still more accurate than necessary in real applications like neural networks and image processing. Meanwhile, an efficient error compensation module is presented to promote the accuracy of the proposed approximate multiplier. Accordingly, our design provides an effective compromise between accuracy and hardware metrics. The hardware simulations are conducted using HSPICE with the 7 nm tri-gate FinFET model. Furthermore, the accuracy and quality of the proposed approximate multiplier are evaluated using MATLAB. According to the results, the proposed design provides far better trade-offs between the performance characteristics and preciseness than its counterparts. The proposed design improves the power-delay product, energy-delay product, and figure of merit considering both energy and quality metrics, on average, by 33%, 44%, and 34%. At the same time, it offers comparable accuracy metrics in error-resilient applications when compared to the other high-accuracy approximate multipliers with error recovery modules.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6

Similar content being viewed by others

Data Availability

The datasets generated during and/or analyzed during the current study are available from the corresponding author on reasonable request.

References

  1. H. Afzali-Kusha, M. Vaeztourshizi, M. Kamal, M. Pedram, Design exploration of energy-efficient accuracy-configurable Dadda multipliers with improved lifetime based on voltage overscaling. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 28, 1207–1220 (2020). https://doi.org/10.1109/tvlsi.2020.2978874

    Article  Google Scholar 

  2. M. Ahmadinejad, M.H. Moaiyeri, F. Sabetzadeh, Energy and area efficient imprecise compressors for approximate multiplication at nanoscale. AEU Int. J. Electron. Commun. (2019). https://doi.org/10.1016/j.aeue.2019.152859

    Article  Google Scholar 

  3. O. Akbari, M. Kamal, A. Afzali-Kusha, M. Pedram, Dual-quality 4:2 compressors for utilizing in dynamic accuracy configurable multipliers. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 25, 1352–1361 (2017). https://doi.org/10.1109/tvlsi.2016.2643003

    Article  Google Scholar 

  4. M.S. Ansari, H. Jiang, B.F. Cockburn, J. Han, Low-power approximate multipliers using encoded partial products and approximate compressors. IEEE J. Emerg. Sel. Top. Circuits Syst. 8, 404–416 (2018). https://doi.org/10.1109/jetcas.2018.2832204

    Article  Google Scholar 

  5. M.S. Ansari, V. Mrazek, B.F. Cockburn, L. Sekanina, Z. Vasicek, J. Han, Improving the accuracy and hardware efficiency of neural networks using approximate multipliers. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 28, 317–328 (2020). https://doi.org/10.1109/tvlsi.2019.2940943

    Article  Google Scholar 

  6. A. Arasteh, M. Hossein Moaiyeri, M. Taheri, K. Navi, N. Bagherzadeh, An energy and area efficient 4:2 compressor based on FinFETs. Integration 60, 224–231 (2018). https://doi.org/10.1016/j.vlsi.2017.09.010

    Article  Google Scholar 

  7. D. Baran, M. Aktan, V.G. Oklobdzija, Energy efficient implementation of parallel CMOS multipliers with improved compressors, in Proceedings of the 16th ACM/IEEE International Symposium on Low Power Electronics And Design—ISLPED’10 (2010). https://doi.org/10.1145/1840845.1840876

  8. C.H. Chang, J. Gu, M. Zhang, Ultra low-voltage low-power CMOS 4–2 and 5–2 compressors for fast arithmetic circuits. IEEE Trans. Circuits Syst. I Regul. Pap. 51, 1985–1997 (2004). https://doi.org/10.1109/tcsi.2004.835683

    Article  Google Scholar 

  9. Y. Chen, T. Luo, S. Liu, S. Zhang, L. He, J. Wang, L. Li, T. Chen, Z. Xu, N. Sun and O. Temam, DaDianNao: a machine-learning supercomputer, in 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture (2014), pp. 609–622. https://doi.org/10.1109/MICRO.2014.58

  10. L.T. Clark, V. Vashishtha, L. Shifren, A. Gujja, S. Sinha, B. Cline, C. Ramamurthy, G. Yeric, ASAP7: a 7-nm finFET predictive process design kit. Microelectron. J. 53, 105–115 (2016). https://doi.org/10.1016/j.mejo.2016.04.006

    Article  Google Scholar 

  11. D. Esposito, A.G.M. Strollo, E. Napoli, D. De Caro, N. Petra, Approximate multipliers based on new approximate compressors. IEEE Trans. Circuits Syst. I Regul. Pap. 65, 4169–4182 (2018). https://doi.org/10.1109/TCSI.2018.2839266

    Article  Google Scholar 

  12. A. Gorantla, Design of approximate compressors for multiplication. ACM J. Emerg. Technol. Comput. Syst. 13, 1–17 (2017). https://doi.org/10.1145/3007649

    Article  Google Scholar 

  13. S.K. Gupta, K. Roy, Low power robust FinFET-based SRAM design in scaled technologies, in Circuit Design for Reliability (2015), pp. 223–253. https://doi.org/10.1109/les.2017.2746084

  14. M. Ha, S. Lee, Multipliers with approximate 4–2 compressors and error recovery modules. IEEE Embed. Syst. Lett. 10, 6–9 (2018). https://doi.org/10.1109/LES.2017.2746084

    Article  Google Scholar 

  15. J. Han, M. Orshansky, Approximate computing: An emerging paradigm for energy-efficient design, in 2013 18th IEEE European Test Symposium (Ets) (2013), pp. 1–6. https://doi.org/10.1109/ETS.2013.6569370

  16. H. Jiang, S. Angizi, D. Fan, J. Han, L. Liu, Non-volatile approximate arithmetic circuits using scalable hybrid spin-CMOS majority gates. IEEE Trans. Circuits Syst. I Regul. Pap. 68, 1217–1230 (2021). https://doi.org/10.1109/tcsi.2020.3044728

    Article  Google Scholar 

  17. L. Jinghang, H. Jie, F. Lombardi, New metrics for the reliability of approximate and probabilistic adders. IEEE Trans. Comput. 62, 1760–1771 (2013). https://doi.org/10.1109/tc.2012.146

    Article  MathSciNet  MATH  Google Scholar 

  18. M.S. Kim, A.A.D. Barrio, L.T. Oliveira, R. Hermida, N. Bagherzadeh, Efficient Mitchell’s approximate log multipliers for convolutional neural networks. IEEE Trans. Comput. 68, 660–675 (2019). https://doi.org/10.1109/tc.2018.2880742

    Article  MathSciNet  MATH  Google Scholar 

  19. M.S. Kim, A.A. Del Barrio Garcia, H. Kim, N. Bagherzadeh, The effects of approximate multiplication on convolutional neural networks. IEEE Trans. Emerging Top. Comput. (2021). https://doi.org/10.1109/tetc.2021.3050989

    Article  Google Scholar 

  20. U.A. Kumar, S.K. Chatterjee, S.E. Ahmed, Low-power compressor-based approximate multipliers with error correcting module. IEEE Embed. Syst. Lett. (2021). https://doi.org/10.1109/les.2021.3113005

    Article  Google Scholar 

  21. Y. Lecun, L. Bottou, Y. Bengio, P. Haffner, Gradient-based learning applied to document recognition. Proc. IEEE 86, 2278–2324 (1998). https://doi.org/10.1109/5.726791

    Article  Google Scholar 

  22. Y.J.H.Y.L.C.E.M. Lecun, The MNIST Database of Handwritten Digits (1998).

  23. V. Leon, G. Zervakis, D. Soudris, K. Pekmestzi, Approximate hybrid high radix encoding for energy-efficient inexact multipliers. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 26, 421–430 (2018). https://doi.org/10.1109/tvlsi.2017.2767858

    Article  Google Scholar 

  24. C. Liu, Design and Analysis of Approximate Adders and Multipliers (University of Alberta, 2014). https://doi.org/10.7939/R3M38H

    Book  Google Scholar 

  25. W. Liu, J. Xu, D. Wang, C. Wang, P. Montuschi, F. Lombardi, Design and evaluation of approximate logarithmic multipliers for low power error-tolerant applications. IEEE Trans. Circuits Syst. I Regul. Pap. 65, 2856–2868 (2018). https://doi.org/10.1109/tcsi.2018.2792902

    Article  Google Scholar 

  26. M.H. Moaiyeri, F. Sabetzadeh, S. Angizi, An efficient majority-based compressor for approximate computing in the nano era. Microsyst. Technol. 24, 1589–1601 (2017). https://doi.org/10.1007/s00542-017-3587-2

    Article  Google Scholar 

  27. A. Momeni, J. Han, P. Montuschi, F. Lombardi, Design and analysis of approximate compressors for multiplication. IEEE Trans. Comput. 64, 984–994 (2015)

    Article  MathSciNet  Google Scholar 

  28. V. Mrazek, S. S. Sarwar, L. Sekanina, Z. Vasicek, K. Roy, Design of power-efficient approximate multipliers for approximate artificial neural networks, in Proceedings of the 35th International Conference on Computer-Aided Design (2016), pp. 1–7. https://doi.org/10.1145/2966986.2967021

  29. Y. Netzer, T. Wang, A. Coates, A. Bissacco, B. Wu, A.Y. Ng, Reading Digits in Natural Images with Unsupervised Feature Learning (2011)

  30. P.E. Novac, G. Boukli Hacene, A. Pegatoquet, B. Miramond, V. Gripon, Quantization and deployment of deep neural networks on microcontrollers. Sensors (Basel) (2021). https://doi.org/10.3390/s21092984

    Article  Google Scholar 

  31. S. Panchanan, R. Maity, S. Baishya, N. PratapMaity, A surface potential model for tri-gate metal oxide semiconductor field effect transistor: analysis below 10 nm channel length. Eng. Sci. Technol. Int. J. 24, 879–889 (2021). https://doi.org/10.1016/j.jestch.2020.12.020

    Article  Google Scholar 

  32. H. Pei, X. Yi, H. Zhou, Y. He, Design of Ultra-low power consumption approximate 4–2 compressors based on the compensation characteristic. IEEE Trans. Circuits Syst. II Express Briefs 68, 461–465 (2021). https://doi.org/10.1109/tcsii.2020.3004929

    Article  Google Scholar 

  33. K. Roy, A. Raghunathan, Approximate computing: an energy-efficient computing technique for error resilient applications. IEEE Comput. Soc. Annu. Symp. VLSI 2015, 473–475 (2015). https://doi.org/10.1109/ISVLSI.2015.130

    Article  Google Scholar 

  34. F. Sabetzadeh, M.H. Moaiyeri, M. Ahmadinejad, A majority-based imprecise multiplier for ultra-efficient approximate image multiplication. IEEE Trans. Circuits Syst. I Regul. Pap. 66, 4200–4208 (2019). https://doi.org/10.1109/tcsi.2019.2918241

    Article  Google Scholar 

  35. S. M. Salahuddin, J. Hailong, V. Kursun, A novel 6T SRAM cell with asymmetrically gate underlap engineered FinFETs for enhanced read data stability and write ability. in International Symposium on Quality Electronic Design (ISQED) (2013), pp. 353–358. https://doi.org/10.1109/ISQED.2013.6523634

  36. J. Schmidhuber, Deep learning in neural networks: an overview. Neural Netw. 61, 85–117 (2015). https://doi.org/10.1016/j.neunet.2014.09.003

    Article  Google Scholar 

  37. A.G.M. Strollo, D. De Caro, E. Napoli, N. Petra, G. Di Meo, Low-power approximate multiplier with error recovery using a new approximate 4–2 compressor, in 2020 IEEE International Symposium on Circuits and Systems (ISCAS) (2020), pp. 1–4. https://doi.org/10.1109/ISCAS45731.2020.9180767

  38. A.G.M. Strollo, E. Napoli, D. De Caro, N. Petra, G. Di Meo, Comparison and extension of approximate 4–2 compressors for low-power approximate multipliers. IEEE Trans. Circuits Syst. I Regul. Pap. 67, 3021–3034 (2020). https://doi.org/10.1109/TCSI.2020.2988353

    Article  MathSciNet  MATH  Google Scholar 

  39. S. Venkatachalam, S.-B. Ko, Design of power and area efficient approximate multipliers. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 25, 1782–1786 (2017). https://doi.org/10.1109/tvlsi.2016.2643639

    Article  Google Scholar 

  40. Z. Wang, A.C. Bovik, H.R. Sheikh, E.P. Simoncelli, Image quality assessment: from error visibility to structural similarity. IEEE Trans. Image Process. 13, 600–612 (2004). https://doi.org/10.1109/tip.2003.819861

    Article  Google Scholar 

  41. N.H.E. Weste, D.F. Harris, D.M. Harris, P.E.D. Harris, CMOS VLSI Design: A Circuits and Systems Perspective (Pearson/Addison-Wesley, 2005)

    Google Scholar 

  42. Z. Yang, J. Han, F. Lombardi, Approximate compressors for error-resilient multiplier design. IEEE Int. Symp. Defect Fault Toler. VLSI Nanotechnol. Syst. (DFTS) 2015, 183–186 (2015). https://doi.org/10.1109/DFT.2015.7315159

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mohammad Hossein Moaiyeri.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Shirkavand Saleh Abad, S., Moaiyeri, M.H. A Hardware- and Accuracy-Efficient Approximate Multiplier with Error Compensation for Neural Network and Image Processing Applications. Circuits Syst Signal Process 41, 7057–7076 (2022). https://doi.org/10.1007/s00034-022-02110-7

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00034-022-02110-7

Keywords

Navigation