Skip to main content
Log in

Comprehensive regression-based model to predict performance of general-purpose graphics processing unit

  • Published:
Cluster Computing Aims and scope Submit manuscript

Abstract

Recently, the use of graphics processors has been significantly increased in fast and accurate scientific calculations. These processors provide a heterogeneous design space, and make designers capable of performing more accurate designs with higher efficiency. In this paper, a regression model is proposed to predict the performance of various applications on general-purpose graphics processors units. We present the main challenges for predicting the efficiency of graphics processing units (GPUs) based on simulation experiments. Also, we build the regression statistical inference from the result of the simulation, which predicts the efficiency of GPUs in various performances with approximately 7% of error of measurement. We have used AMD Southern Island and SDK 2.5, OpenCL which are both based on OpenCL. The first version of the design is built by very large design space, approximately about 17 billion points, from which 8000 points were randomly chosen, and the performance of graphic processors was calculated based on the results of the simulation. The model of non-linear regression is capable of predicting the performance of graphics process with the average error rate of 7%.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3

Similar content being viewed by others

Notes

  1. Instruction per cycle.

  2. Root mean squared error.

  3. Mean absolute error.

References

  1. Puttaswamy, K., et al.: System level power-performance trade-offs in embedded systems using voltage and frequency scaling of off-chip buses and memory. In: Proceedings of the 15th International Symposium On System Synthesis. ACM (2002)

  2. Meyer, B.H., et al.: Power-performance simulation and design strategies for single-chip heterogeneous multiprocessors. IEEE Trans. Comput. 54(6), 684–697 (2005)

    Article  Google Scholar 

  3. Park, Y.-H., et al.: System-level power-performance trade-offs in bus matrix communication architecture synthesis. In: Hardware/Software Codesign and System Synthesis, 2006. CODES+ ISSS’06. Proceedings of the 4th International Conference. IEEE (2006)

  4. Top 500.: Available from: https://www.top500.org/lists/2019/06/. Accessed June 2019

  5. Green 500.: Available from: https://www.top500.org/green500/lists/2019/06/. Accessed June 2019

  6. Thompson, M., et al.: A mixed-level co-simulation method for system-level design space exploration. In: Proceedings of the 2006 IEEE/ACM/IFIP Workshop on Embedded Systems for Real Time Multimedia. IEEE (2006)

  7. Baghsorkhi, S.S., et al.: Analytical performance prediction for evaluation and tuning of GPGPU applications. In: Workshop on EPHAM2009, in Conjunction with CGO, Citeseer (2009)

  8. McClanahan, C.: History and Evolution of GPU Architecture. A Survey Paper, p. 9 (2010)

  9. Power, J., et al.: gem5-gpu: a heterogeneous CPU–GPU simulator. IEEE Comput. Archit. Lett. 14(1), 34–36 (2015)

    Article  Google Scholar 

  10. Kothapalli, K., et al.: A performance prediction model for the CUDA GPGPU platform. In: 2009 International Conference on High Performance Computing (HiPC). IEEE (2009)

  11. Hong, S., Kim, H.: An integrated GPU power and performance model. In: ACM SIGARCH Computer Architecture News. ACM (2010)

  12. Lee, B.C., Brooks, D.: Applied inference: case studies in microarchitectural design. ACM Trans. Archit. Code Optim. 7(2), 8 (2010)

    Article  Google Scholar 

  13. Schafer, B.C., Wakabayashi, K.: Design space exploration acceleration through operation clustering. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(1), 153–157 (2010)

    Article  Google Scholar 

  14. Meng, J., et al.: GROPHECY: GPU performance projection from CPU code skeletons. In: Proceedings of 2011 International Conference for High Performance Computing, Networking, Storage and Analysis. ACM (2011)

  15. Song, S., et al.: A simplified and accurate model of power-performance efficiency on emergent GPU architectures. In: 2013 IEEE 27th International Symposium on Parallel and Distributed Processing (IPDPS). IEEE (2013)

  16. Moren, K., Göhringer, D.: Automatic Mapping for OpenCL-Programs on CPU/GPU Heterogeneous Platforms. Springer, Cham (2018)

    Book  Google Scholar 

  17. Azizi, O., et al.: An integrated framework for joint design space exploration of microarchitecture and circuits. In: Design, Automation and Test in Europe Conference and Exhibition (DATE), 2010. IEEE (2010)

  18. Dubach, C., et al.: A predictive model for dynamic microarchitectural adaptivity control. In: Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society (2010)

  19. Kerr, A., Diamos, G., Yalamanchili, S.: Modeling GPU–CPU workloads and systems. In: Proceedings of the 3rd Workshop on General-Purpose Computation on Graphics Processing Units. ACM (2010)

  20. Kerr, A., et al.: Eiger: a framework for the automated synthesis of statistical performance models. In: 2012 19th International Conference on High Performance Computing (HiPC). IEEE (2012)

  21. Ubal, R., et al.: Multi2Sim: a simulation framework for CPU–GPU computing. In: 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT). IEEE (2012)

  22. Benatia, A., et al.: BestSF: a sparse meta-format for optimizing SpMV on GPU. ACM Trans. Archit. Code Optim. 15(3), 29 (2018)

    Article  Google Scholar 

  23. Sun, Y., et al.: MGSim+ MGMark: A Framework for Multi-GPU System Research (2018). arXiv preprint arXiv:1811.02884

  24. Joseph, P., Vaswani, K., Thazhuthaveetil, M.J.: A predictive performance model for superscalar processors. In: Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society (2006)

  25. Hu, L., Che, X., Zheng, S.-Q.: A closer look at GPGPU. ACM Comput. Surv. 48(4), 60 (2016)

    Article  Google Scholar 

  26. Issa, J.: Processor performance modeling using regression method. In: 2016 18th Mediterranean Electrotechnical Conference (MELECON). IEEE (2016)

  27. Gianniti, E., Zhang, L., Ardagna, D.: Performance prediction of GPU-based deep learning applications. In: Conference: 2018 30th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD) (2018)

  28. Mukherjee, R., Rehman, M.S., Kothapalli, K., Narayanan, P.J, Srinathan, K.: Fast, Scalable, and Secure encryption on the GPU (2014)

  29. Jia, W., et al.: GPU performance and power tuning using regression trees. ACM Trans. Archit. Code Optim. 12(2), 13 (2015)

    Article  Google Scholar 

  30. Siavashi, A., Momtazpour, M.: GPUCloudSim: an extension of CloudSim for modeling and simulation of GPUs in cloud data centers. J. Supercomput. (2018). https://doi.org/10.1007/s11227-018-2636-7

    Article  Google Scholar 

  31. Wu, W., Lee, B.C.: Inferred models for dynamic and sparse hardware–software spaces. In: Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society (2012)

  32. Huang, B., et al.: Development of a GPU-based high-performance radiative transfer model for the Infrared Atmospheric Sounding Interferometer (IASI). J. Comput. Phys. 230(6), 2207–2221 (2011)

    Article  Google Scholar 

  33. Jia, W., Shaw, K.A., Martonosi, M.: Stargazer: automated regression-based GPU design space exploration. In: 2012 IEEE International Symposium on Performance Analysis of Systems & Software. IEEE (2012)

  34. Ahmad, L.G., et al.: Using three machine learning techniques for predicting breast cancer recurrence. J. Health Med. Inf. 4(124), 3 (2013)

    Google Scholar 

  35. Khalaf, M., et al.: A data science methodology based on machine learning algorithms for flood severity prediction. In: 2018 IEEE Congress on Evolutionary Computation (CEC) (2018)

  36. Reference Guide: Southern Islands Series Instruction Set Architecture.: Rev. 1.0, Aug. 2012. http://developer.amd.com/wordpress/media/2012/10/AMD_Southern_Islands_Instruction_Set_Architecture.pdf

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Hossein Pedram.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Shafiabadi, M.H., Pedram, H., Reshadi, M. et al. Comprehensive regression-based model to predict performance of general-purpose graphics processing unit. Cluster Comput 23, 1505–1516 (2020). https://doi.org/10.1007/s10586-019-03011-2

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10586-019-03011-2

Keywords

Navigation