Skip to main content

Advertisement

Log in

Reducing the complexity of instruction-level power models for VLIW processors

  • Published:
Design Automation for Embedded Systems Aims and scope Submit manuscript

Abstract

Aim of this paper is to propose a high-level power exploration framework based on an instruction-level energy model for VLIW (Very Long Instruction Word) architectures. More specifically, the present paper deals with the reduction of the complexity of the energy model of K-issue VLIW processors from exponential with respect to the number of operations within the Instruction Set O(⫨ISAK) to quadratic (O(K*⫨ISA2)). The complexity of the energy model has been further simplified by automatically clustering the operations in the ISA with respect to their average energy. Globally, the proposed approach reduces the complexity of the characterization problem for a K-issue VLIW processor to quadratic (O(K*⫨C2)) with respect to the number of operation clusters. In this way, a more efficient characterization of the VLIW core power consumption can been achieved, while preserving the accuracy of the power estimates. The proposed model has been further extended to provide early power figures and energy/performance trade-offs for multi-cluster VLIW architectures composed of multiple data-path units and a single instruction cache control unit. The proposed high-level power estimation methodology has been applied to the Lx 4-issue VLIW pipelined processor provided by STMicroelectronics.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Similar content being viewed by others

References

  1. Tiwari, V., S. Malik, and A. Wolfe. Power Analysis of Embedded Software: A First Step Towards Software Power Minimization. In IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 2, no. 4, pp. 437–445, 1994.

    Article  Google Scholar 

  2. Lee, T., V. Tiwari, and S. Malik. Power Analysis and Minimization Techniques for Embedded DSP Software. In IEEE Transactions on VLSI Systems, vol. 5, no. 1, pp. 123–135, 1997.

    Article  Google Scholar 

  3. Sami, M., D. Sciuto, C. Silvano, and V. Zaccaria. An Instruction-Level Energy Model for Embedded VLIW Architectures. In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 21, no. r92, September 2002, pp. 998–1010.

    Article  Google Scholar 

  4. Bona, A., M. Sami, D. Sciuto, C. Silvano, V. Zaccaria, and R. Zafalon. Energy Estimation and Optimization of Embedded VLIW Processors Based on Instruction Clustering. In Proceedings of the 39th Design Automation Conference DAC’02, June 2002, pp. 886–891.

  5. Tiwari, V., S. Malik, A. Wolfe, and M. Lee. Instruction Level Power Analysis and Optimization of Software. In J. VLSI Signal Processing, pp. 1–18, 1996.

  6. Russell, J., and M. Jacome. Software Power Estimation and Optimization for High Performance, 32-bit Embedded Processors. In International Conference on Computer Design: VLSI in Computers and Processors, pp. 328–333, 1998.

  7. Trifone, D., D. Sarta and G. Ascia. A Data Dependent Approach to Instruction Level Power Estimation. In Proc. IEEE Alessandro Volta Memorial Workshop on Low Power Design, Como, Italy, March 1999, pp. 182–190.

  8. Klass, B., D. Thomas, H. Schmit, and D. Nagle. Modeling Inter-Instruction Energy Effects in a Digital Signal Processor. In Power-Driven Microarchitecture Workshop, June 1998.

  9. Ye, W., N. Vijaykrishnan, M. Kandemir, and M. Irwin. The Design and Use of Simplepower: A Cycleaccurate Energy Estimation Tool. In Proc. 37th Design Automation Conference, Los Angeles, CA, June 2000.

  10. Vijaykrishnan, N., M. Kandemir, M.J. Irwin, H.S. Kim, and W. Ye. Energy-Driven Integrated Hardware-Software Optimizations Using Simplepower. In ISCA 2000: 2000 International Symposium on Computer Architecture, Vancouver BC, Canada, June 2000.

  11. Li, Y., and J. Henkel. A Framework for Estimating and Minimizing Energy Dissipation of Embedded HW/SW Systems. In DAC-35: ACM/IEEE Design Automation Conference, June 1998.

  12. Conte, T. M., K. N. Menezes, S. W. Sathaye, and M. C. Toburen. System-Level Power Consumption Modeling and Tradeoff Analysis Techniques for Superscalar Processor Design. In IEEE Trans. on Very Large Scale Integration (VLSI) Systems, vol. 8, no. 2, April 2000, pp. 129–137.

    Article  Google Scholar 

  13. Brooks, D., V. Tiwari, and M. Martonosi. Wattch: A Framework for Architectural-Level Power Analysis and Optimizations. In Proceedings ISCA 2000, pp. 83–94, 2000.

  14. Bellas, N., I. N. Hajj, D. Polychronopoulos, and G. Stamoulis. Architectural and Compiler Techniques for Energy Reduction in High-Performance Microprocessors. In IEEE Transactions on Very Large Scale of Integration (VLSI) Systems, vol. 8, no. 3, June 2000.

  15. Bahar, R. I., G. Albera, and S. Manne. Power and Performance Tradeoffs Using Various Caching Strategies. In ISLPED-98: ACM/IEEE Int. Symposium on Low Power Electronics and Design, Monterey, CA, 1998.

  16. Givargis, T. D., F. Vahid, and Jörg Henkel. Evaluating Power Consumption of Parameterized Cache and Bus Architectures in System-on-a-Chip Designs. In IEEE Transactions on Very Large Scale of Integration (VLSI) Systems, vol. 9, no. 4, August 2001.

  17. Su, C. L., and A. M. Despain. Cache Design Trade-Offs for Power and Performance Optimization: A Case Study. In ISLPED-95: ACM/IEEE Int. Symposium on Low Power Electronics and Design, 1995.

  18. Kamble, M. B., and K. Ghose. Analytical Energy Dissipation Models for Low Power Caches. In ISLPED-97: ACM/IEEE Int. Symposium on Low Power Electronics and Design, 1997.

  19. Wilton, S. E., and N. Jouppi. An Enhanced Access and Cycle Time Model for On-Chip Caches. In Tech. Rep. 93/5, Digital Equipment Corporation Western Research Lab., 1994.

  20. Hicks, P., M. Walnock, and R. M. Owens. Analysis of Power Consumption in Memory Hierarchies. In ISLPED-97: ACM/IEEE Int. Symposium on Low Power Electronics and Design, Monterey, CA, pp. 239–242, 1997.

  21. Shiue, W.-T., and C. Chakrabarti. Power Estimation of System-Level Buses for Microprocessor-Based Architectures: A Case Study. In Proc. DAC99: Design Automation Conference, New Orleans, LU, 1999.

  22. Lapinskii, V.S., M.F. Jacome, and G.A. de Veciana. Application-Specific Clustered VLIW Datapaths: Early Exploration on a Parameterized Design Space. In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. CAD-21, no. 8, August 2002, pp. 889–903.

    Article  Google Scholar 

  23. Faraboschi, P., G. Brown, J. Fisher, G. Desoli, and F. Homewood. Lx: A Technology Platform for Customizable Vliw Embedded Processing. In Proceedings of the International Symposium on Computer Architecture, June 2000, pp. 203–213.

  24. Jain, A. K., M. N. Murty, and P. J. Flynn. Data Clustering: A Review. In ACM Comp. Surveys, vol. 31, no. 3, September 1999, pp. 264–323.

    Article  Google Scholar 

  25. Gennari, J. H. A Survey of Clustering Methods. In Technical Report ICS-TR-89-38, University of California, Irvine, Department of Information and Computer Science, October 1989.

  26. Wu, Q., Q. Qiu, M. Pedram, and C. Ding. Cycle-Accurate Macromodels for RT-level Power Analysis. In IEEE Trans. on Very Large Scale Integration (VLSI) Systems, vol. 6, December 1998, pp. 520–528.

    Article  Google Scholar 

  27. Lee, C., J. K. Lee, and T. T. Hwang. Compiler Optimization on Instruction Scheduling for Low Power. In Proceedings of The 13th International Symposium on System Synthesis. September 20–22, 2000, pp. 55–60, IEEE Computer Society Press.

  28. Lee, C., M. Potkonjak, and W. H. Mangione-Smith. Mediabench: A Tool for Evaluating Multimedia and Communication Systems. In Proceedings of Micro 30, 1997.

  29. Wilton, S., and N. Jouppi. CACTI:An Enhanced Cache Access and Cycle Time Model. In IEEE Journal of Solid-State Circuits, vol. 31, no. 5, pp. 677–688, 1996.

    Article  Google Scholar 

  30. Aho, A., J. Hopcroft, and J. Ullman. Data Structures and Algorithms, Addison-Wesley, Reading, MA, USA, 1983.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to C. Silvano.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Bona, A., Sami, M., Sciuto, D. et al. Reducing the complexity of instruction-level power models for VLIW processors. Des Autom Embed Syst 10, 49–67 (2005). https://doi.org/10.1007/s10617-006-9045-5

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10617-006-9045-5

Keywords

Navigation