Skip to main content

Advertisement

Log in

An integrated memory-disk system with buffering adapter and non-volatile memory

  • Published:
Design Automation for Embedded Systems Aims and scope Submit manuscript

Abstract

Next generation non-volatile memory devices are promising replacements for DRAM and Flash memories for mobile devices because of their energy efficiency and non-volatile characteristics. In this paper, we propose a new memory hierarchy system for next-generation non-volatile memory devices that is called an integrated memory-disk (IM-D) structure. It can merge a conventional main memory layer and a disk storage layer into a single memory layer using Phase change memory (PCM) and Flash memories. The IM-D architecture, consisting of a dual buffering IM-D adapter to improve the limited endurance and latencies, an array of PCM/Flash hybrid memories, and an associated memory management module called the IM-D translation layer in the operating system, is designed to utilize the advantages of next-generation non-volatile memory devices and at the same time overcome some shortcomings, like the asymmetric read/write access latencies and limited endurance, of a conventional memory hierarchy system. In the IM-D architecture, we propose an array of PCM/Flash hybrid memories and a migration scheme to enhance the cost effective performance and to reduce access latency. Our experimental results show that the miss rate of the proposed IM-D adapter is reduced by 49 % as compared with the conventional memory module, and the write count is reduced by 60.15 %. In addition, the access latency of the IM-D storage is improved by 45.3 %.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12

Similar content being viewed by others

References

  1. Ferreira AP, Childers B, Melhem R, Mosse D, Yousif M (2010) Using PCM in next-generation embedded space applications. In: 16th IEEE real-time and embedded technology and applications symposium (RTAS), pp 153–162

  2. Jung J, Cho S (2013) Memorage: emerging persistent RAM based malleable main memory and storage architecture. Int Conf Supercomput 2013:115–126

    Google Scholar 

  3. Raoux S, Burr GW, Breitwisch MJ, Rettner CT, Chen Y-C, Shelby RM, Salinga M, Krebs D, Chen S-H, Lung H-L, Lam CH (2008) Phase-change random access memory: A scalable technology. IBM J Res Dev 52:465–480

    Article  Google Scholar 

  4. Ball P (2007) High-density memory: a switch in time. Nature 445:362–363

    Article  Google Scholar 

  5. Wu X, Li J, Zhang L, Speight E, Rajamony R, Xie Y (2009) Hybrid cache architecture with disparate memory technologies. ACM SIGARCH Comput Architect News 37:34–45

    Article  Google Scholar 

  6. Zhou HP, Zhao B, Yang J, Zhang Y (2013) Throughput Enhancement for phase change memories. IEEE Trans Comput 14:1–14

    Article  Google Scholar 

  7. Qureshi MK, Srinivasan V, Revers JA (2009) Scalable high performance main memory system using phase-change memory technology. in: The 36th Annual international symposium on computer architecture (ISCA09), pp 24–33

  8. Dhiman G, Ayoub R, Rosing T (2009) PDRAM: a hybrid PRAM and DRAM main memory system. In: Design automation conference (DAC), pp 664–669

  9. Mangalagiri P, Sarpatwari K, Yanamandra A, Narayanan V, Xie Y, Irwin MJ, Karim OA (2008) A low-power phase change memory based hybrid cache architecture. In: The 18th ACM Great Lakes symposium on VLSI (GLSVLSI08), pp 395–398

  10. Park JS, Kim HS, Chung KS, Han TH (2010) PRAM and NAND Flash hybrid architecture based on hot data detection. In: The 2nd international conference on mechanical and electronics engineering, vol 1, pp 93–97

  11. Cauleld AM, De A, Coburn J, Mollov TI, Gupta RK, Swanson S (2010) Moneta: A high-performance storage array architecture for next-generation, non-volatile memories. In: The 43rd annual IEEE/ACM international symposium on microarchitecture, pp 385–395

  12. Kryder M, Kim C (2010) After hard driveswhat comes next? In: The IEEE transactions on magnetics, pp 3406–3413

  13. Lee BC, Zhou P, Yang J, Zhang Y, Ipek E, Mutlu O, Burger D (2010) Phase-change technology and the future of main memory. IEEE Micro 30:131–143

    Article  Google Scholar 

  14. Ferreira AP, Zhou M, Bock S, Childers B, Melhem R, Mosse D (2010) Increasing PCM main memory lifetime. In: Conference on design, automation and test in Europe, pp 914–919

  15. Choi IS, Jang SI, Oh CH, Weems CC, Kim SD (2013) A dynamic adaptive converter and management for PRAM-based main memory. Microprocess Microsyst 37:554–561

    Article  Google Scholar 

  16. Zhou P, Zhao B, Yang J, Zhang Y (2009) A durable and energy efficient main memory using phase change memory technology. In: The 36th annual international symposium on computer architecture (ISCA09), pp 14–23

  17. Shao Z, Liu Y, Chen Y, Li T (2012) Utilizing PCM for Energy optimization in embedded systems. In: 2012 IEEE computer society annual symposium on VLSI, pp 398–403

  18. Kim JK, Lee HG, Choi S, Bahng KI (2008) A PRAM and NAND flash hybrid architecture for high-performance embedded storage subsystems. In: The 8th ACM international conference on embedded software (EMSOFT ’08), pp 31–40

  19. Liu D, Wang T, Wang Y, Qin Z, Shao Z (2011) PCM-FTL: a write-activity-aware NAND flash memory management scheme for PCM-based embedded systems. In: The 32nd IEEE real-time systems symposium, pp 357–366

  20. Saito S, Oikawa S (2012) Exploration of non-volatile memory management in the OS kernel. In: The third international conference on networking and computing (ICNC), pp 302–306

  21. Josephson WK, Bongo LA, Li K, Flynn D (2010) DFS: a file system for virtualized flash storage. ACM Trans Storage 6(3):14–25

    Article  Google Scholar 

  22. Wu X, Reddy ALN (2011) SCMFS: A file system for storage class memory. In: 2011 international conference for high performance computing. networking, storage and analysis, pp 1–11

  23. Condit J, Nightingale EB, Frost C, Ipek E, Lee B, Burger D, Coetzee D (2009) Better I/O through byte-addressable, persistent memory. In: The ACM SIGOPS 22nd symposium on operating systems principles, pp 133–146

  24. Protected and Persistent RAM Filesystem, http://pramfs.sourceforge.net/

  25. Park SY, Jung D, Kang JU, Kim JS, Lee J (2006) CFLRU: a replacement algorithm for flash memory. In: Compilers, architecture, and synthesis for embedded systems (CASES), pp 234–241

  26. Kim H, Agrawal N, Ungureanu C (2012) Revisiting storage for smartphones. In: The 10 USENIX conference on file and storage technologies (FAST12), p 17

  27. SPEC CPU2006 Standard Performance Evaluation Corporation. http://www.spec.org/cpu2006/

  28. Henning JL (2006) SPEC CPU2006 benchmark descriptions. ACM SIGARCH Compu Architect News 34(4):1–17

    Article  MathSciNet  Google Scholar 

  29. Phansalkar A, Joshi A, John LK (2007) Analysis of redundancy and application balance in the SPEC CPU2006 benchmark suite. ACM SIGARCH Comput Architect News 35:412–423

    Article  Google Scholar 

  30. SPLASH2: http://www.capsl.udel.edu/splash/Download.html

  31. DiskMon, http://technet.microsoft.com/enus/sysinternals/bb896646.aspx

  32. Gem5 simulator system, http://www.gem5.org/

  33. Binkert N, Beckmann B, Black G, Reinhardt SK, Saidi A, Basu A et al (2011) The Gem5 simulator. ACM SIGARCH Comput Architect News 39:1–7

    Article  Google Scholar 

Download references

Acknowledgments

This work was supported by Samsung Electronics Co. “Designing HW Adapter for PRAM based Main Memory” project.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Shin-Dug Kim.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Yoon, SK., Bian, MY. & Kim, SD. An integrated memory-disk system with buffering adapter and non-volatile memory. Des Autom Embed Syst 17, 609–626 (2013). https://doi.org/10.1007/s10617-014-9152-7

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10617-014-9152-7

Keywords

Navigation