Skip to main content
Log in

New-Age: A Negative Bias Temperature Instability-Estimation Framework for Microarchitectural Components

  • Published:
International Journal of Parallel Programming Aims and scope Submit manuscript

Abstract

Degradation of device parameters over the lifetime of a system is emerging as a significant threat to system reliability. Among the aging mechanisms, wearout resulting from Negative Bias Temperature Instability (NBTI) is of particular concern in deep submicron technology generations. While there has been significant effort at the device and circuit level to model and characterize the impact of NBTI, the analysis of NBTI’s impact at the architectural level is still at its infancy. To facilitate architectural level aging analysis, a tool capable of evaluating NBTI vulnerabilities early in the design cycle has been developed that evaluates timing degradation due to NBTI. The tool includes workload-based temperature and performance degradation analysis across a variety of technologies and operating conditions, revealing a complex interplay between factors influencing NBTI timing degradation.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Kaczer B., Arkhipov V., Jurczak M., Groeseneken G.: Negative bias temperature instability (NBTI) in Si02 and SiON gate dielectrics understood through disorder-controlled kinetics. Microelectron. Eng. 80, 122–125 (2005). doi:10.1016/j.mee.2005.04.054

    Article  Google Scholar 

  2. Wang, W.: The impact of NBTI on the performance of combinational and sequential circuits. In: ACM/IEEE Design Automation Conference (DAC) (2007)

  3. Alam M.A., Mahapatra S.: A comprehensive model of PMOS NBTI degradation. Microelectron. Reliab. 45, 71–81 (2005). doi:10.1016/j.microrel.2004.03.019

    Article  Google Scholar 

  4. Mahapatra S., Kumar P.B., Alam M.A.: Investigation and of interface and bulk trap generation during negative bias temperature instability of p-MOSFETs. IEEE Trans. Electron. Dev. 51, 1371–1379 (2004). doi:10.1109/TED.2004.833592

    Article  Google Scholar 

  5. Zafar S., Lee Y.H., Stathis J.: Evaluation of NBTI in HfO2 gate-dielectric stacks with tungsten gates. IEEE Electron. Dev. Lett. 25, 153–155 (2004)

    Article  Google Scholar 

  6. Alam, M.A.: A critical examination of the mechanics of dynamic NBTI for pMOSFETS. In: IEDM Technical Digest, pp. 346–349 (2003)

  7. Varghese D., Mahapatra S., Alam M.A.: Hole energy dependent interface trap generation in MOSFET Si/SiO2 interface. IEEE Electron. Dev. Lett. 26, 572–574 (2005). doi:10.1109/LED.2005.852739

    Article  Google Scholar 

  8. Chakravarthi, S., Krishnan, A.T., Reddy, V., Machala, C.F., Krishnan, S.: A comprehensive framework for predictive modeling of negative bias temperature instability. In: Proceedings of the IEEE International Reliability Physics Symposium, pp. 273–282 (2004)

  9. Borkar, S.: Electronics beyond nano-scale CMOS. In: ACM/IEEE Design Automation Conference (2006)

  10. Schroder D.K., Babcock J.A.: Negative bias temperature instability: road to cross in deep submicron silicon semiconductor manufacturing. J. Appl. Phys. 94, 1–18 (2003)

    Article  Google Scholar 

  11. Borkar S.: Designing reliable systems from unreliable components: the challenges of transistor variability and degradation. IEEE Micro 25, 10–16 (2005). doi:10.1109/MM.2005.110

    Article  Google Scholar 

  12. Wang, W., Reddy, V., Krishnan, A.T., Krishnan, S., Cao, Y.: An integrated modeling paradigm of circuit reliability for 65nm CMOS technology. In: CICC (2007)

  13. Zhao Y.C.W.: New generation of predictive technology model for sub-45nm early design exploration. IEEE Trans. Electron. Dev. 53, 2816–2823 (2006). doi:10.1109/TED.2006.884077

    Article  Google Scholar 

  14. Kumar, S.V., Kim, C.H., Sapatnekar, S.: Impact of NBTI on SRAM read stability and design for Reliability. In: Proceedings of the IEEE International Symposium on Quality Electronic Design (ISQED’07) (2006)

  15. Paul, B.C., Kang, K., Kufloglu, H., Alam, M.A., Roy, K.: Temporal performance degradation under NBTI: estimation and design for improved reliability of nanoscale circuits. In: Proceedings of the Design, Automation and Test in Europe (DATE) (2006)

  16. Kumar, S.V., Kim, C.H., Sapatnekar, S.: NBTI-Aware Synthesis of Digital Circuits. In: Proceedings of the Design Automation Conference (DAC) (2007)

  17. Wang, W., Wei, Z., Yang, S., Cao, Y.: An efficient method to identify critical gates under circuit aging. In: Proceedings of the International Conference on Computer Aided Design (ICCAD) (2007)

  18. Abella, J., Vera, X., Gonzalez, A.: Penelope: the NBTI-Aware processor. In: International Symposium on Microarchitecture (MICRO’ 07) (2007)

  19. Sakurai T., Newton A.R.: Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas. Solid State Circ. IEEE J. 25, 584 (1990). doi:10.1109/4.52187

    Article  Google Scholar 

  20. www.simplescalar.com

  21. Huang, W., Sankaranarayanan, K., Ribando, R.J., Stan, M.R., Skadron, K.: An improved block-based thermal model in HotSpot 4.0 with granularity considerations. In: Proceedings of the Workshop on Duplicating, Deconstructing, and Debunking, in conjunction with the 34th International Symposium on Computer Architecture (ISCA), June (2007)

  22. Gieseke, B.A.: A 600MHz superscalar RISC microprocessor with out-of-order execution. In: 1997 IEEE International Solid-State Circuits Conference Digest of Technical Papers (1997)

  23. Meyer, D.: AMD-K7(TM). Technology Presentation. Advanced Micro Devices, Inc., Sunnyvale, CA (1998)

  24. Wang, N.J., Quek, J., Rafacz, T.M., Patel, S.J.: Characterizing the effects of transient faults on a high-performance processor pipeline. In: Proceedings of the 2004 International Conference on Dependable Systems and Networks (DSN), Florency, Italy (2004)

  25. www.spec.org

  26. http://www.itrs.net/

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Michael DeBole.

Rights and permissions

Reprints and permissions

About this article

Cite this article

DeBole, M., Krishnan, R., Balakrishnan, V. et al. New-Age: A Negative Bias Temperature Instability-Estimation Framework for Microarchitectural Components. Int J Parallel Prog 37, 417–431 (2009). https://doi.org/10.1007/s10766-009-0104-y

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10766-009-0104-y

Keywords

Navigation