Skip to main content
Log in

A Timed-Value Stream Based ESL Timing and Power Estimation and Simulation Framework for Heterogeneous MPSoCs

  • Published:
International Journal of Parallel Programming Aims and scope Submit manuscript

Abstract

Consideration of an embedded system’s timing behavior and power consumption at system-level is an ambitious task. Sophisticated tools and techniques exist for power and timing estimations of individual components such as custom hard- and software as well as IP components. In this article we present an ESL framework for timing and power aware virtual system prototyping of heterogeneous MPSoCs consisting of software, custom hardware and 3rd party IP components. In virtual platform, previously only used for functional software verification, our proposed timed value streams enable a hierarchical and composable power model. Our proposed ESL framework supports the integration of a broad range of system-level timing and power models into virtual platform. Power and timing models can either be generated from a functional C/C++ description or include state-machine based power models to existing functional and timed virtual platform (black-box) components. Our timed value stream based power model supports the run-time analysis of different platform power management strategies with configurable temporal abstraction, supporting simulation speed and accuracy trade-offs. This work evaluates timing and power back-annotation and power state machine based approaches with timed value streams in two use-cases: An MP3 decoder, compared to a power-aware ISS and gate-level simulation, and an FPGA based many-core architecture against measurements. Finally, the simulation time overhead of the proposed stream based power model is analyzed and discussed.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19
Fig. 20
Fig. 21
Fig. 22
Fig. 23

Similar content being viewed by others

Notes

  1. Sometimes also called non-functional properties. In this work extra-functional properties specify all metrics beyond the behavior. Here we focus on the extra-functional properties timing and power consumption. More details can be found in Sect. 3.3.

  2. We sometimes omit j for improved readability.

  3. This classification is loosely adopted from the theory of thermodynamics. Sometimes, these classes are called state and process functions.

  4. The starting time is assumed to be aligned already.

  5. We do not consider the temperature dependent leakage power here, for this reason the measurement has been stared after a warm-up phase with stable temperature of the system.

  6. Since the “no stream processor” scenario only generates power values without containing any functional behavior.

References

  1. AbsInt Angewandte Informatik GmbH: aiT Worst-Case Execution Time Analyzer. http://www.absint.com/ait. Accessed 29 May 2015

  2. Austin, T., Larson, E., Ernst, D.: Simplescalar: an infrastructure for computer system modeling. Computer 35(2), 59–67 (2002). https://doi.org/10.1109/2.982917

    Article  Google Scholar 

  3. Bansal, N., Lahiri, K., Raghunathan, A., Chakradhar, S.T.: Power monitors: a framework for system-level power estimation using heterogeneous power models. In: Proceedings of the 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design, VLSID ’05, pp. 579–585. IEEE Computer Society, Washington, DC, USA (2005). https://doi.org/10.1109/ICVD.2005.138

  4. Biehl, T.: Ein Timed Value Stream basiertes Powermodell für SystemC. Master’s thesis, Carl von Ossietzky Universität Oldenburg (2014)

  5. Boost.Units library 1.1.0. http://www.boost.org/doc/html/boost_units.html. Accessed 29 May 2015

  6. Büker, M., Grüttner, K., Hartmann, P.A., Stierand, I.: Mapping of concurrent object-oriented models to extended real-time task networks. In: Proceedings of the 2010 Forum on Specification and Design Languages (FDL’2010), pp. 43–48 (2010)

  7. Damasevicius, R., Stuikys, V.: Estimation of power consumption at behavioral modeling level using SystemC. EURASIP J. Embed. Syst. 2007, 1–8 (2007)

    Article  Google Scholar 

  8. Dhanwada, N., Lin, I.C., Narayanan, V.: A power estimation methodology for SystemC transaction level models. In: Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS ’05, pp. 142–147. ACM (2005). https://doi.org/10.1145/1084834.1084874

  9. Donlin, A.: Transaction level modeling: flows and use models. In: Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (2004)

  10. Greaves, D.J., Yasin, M.: TLM POWER3: Power estimation methodology for SystemC TLM 2.0. In: FDL, pp. 106–111. IEEE (2012). http://dblp.uni-trier.de/db/conf/fdl/fdl2012.html#GreavesY12. Accessed 29 May 2015

  11. Grüttner, K., Hartmann, P.A., Fandrey, T., Hylla, K., Lorenz, D., Stattelmann, S., Sander, B., Bringmann, O., Nebel, W., Rosenstiel, W.: An ESL timing and power estimation and simulation framework for heterogeneous socs. In: XIVth International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, SAMOS 2014, Agios Konstantinos, Samos, Greece, July 14–17, 2014, pp. 181–190. IEEE (2014). https://doi.org/10.1109/SAMOS.2014.6893210

  12. Grüttner, K., Hartmann, P.A., Hylla, K., Rosinger, S., Nebel, W., Herrera, F., Villar, E., Brandolese, C., Fornaciari, W., Palermo, G., Ykman-Couvreur, C., Quaglia, D., Ferrero, F., Valencia, R.: The COMPLEX reference framework for HW/SW co-design and power management supporting platform-based design-space exploration. Microprocess. Microsyst. 37(8C), 966–980 (2013). https://doi.org/10.1016/j.micpro.2013.09.001. [special issue on European Projects in Embedded System Design (EPESD’2012)]

    Article  Google Scholar 

  13. Hartmann, P.A., Grüttner, K., Nebel, W.: Advanced systemc tracing and analysis framework for extra-functional properties. In: K. Sano, D. Soudris, M. Hübner, P.C. Diniz (eds.) Applied Reconfigurable Computing—11th International Symposium, ARC 2015, Bochum, Germany, April 13–17, 2015, Proceedings, Lecture Notes in Computer Science, vol. 9040, pp. 141–152. Springer (2015). https://doi.org/10.1007/978-3-319-16214-0_12

  14. Hong, W., Joshi, J., Vieh, A., Bannow, N., Kramer, A., Post, H., Bringmann, O., Rosenstiel, W.: Advanced features for industry-level logging and tracing of C-based designs. In: Forum on specification and Design Languages (FDL 2013). IEEE (2013)

  15. Hylla, K.: Bridging the gap between precise RT-level power/timing estimation and fast high-level simulation. Ph.D. thesis, Carl von Ossietzky Universität Oldenburg, Department for Computer Science (2014)

  16. Hylla, K., Hartmann, P.A., Helms, D., Nebel, W.: Early power & timing estimation of custom hardware blocks based on automatically generated combinatorial macros. In: Haubelt, C., Timmermann, D. (eds.) Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), Warnemünde, Germany, March 12–14, 2013, pp. 147–158. Universität Rostock, Institut für Angewandte Mikroelektronik und Datentechnik, Fakultät für Informatik und Elektrotechnik (2013)

  17. IEEE Computer Society: IEEE Standard Verilog Hardware Description Language. IEEE Std. 1364-2005 (2006). https://doi.org/10.1109/IEEESTD.2006.99495. ISBN 0-7381-4851-2

  18. IEEE Computer Society: IEEE Standard SystemC Language Reference Manual. IEEE Std. 1666-2011 (2012). https://doi.org/10.1109/IEEESTD.2012.6134619. http://standards.ieee.org/getieee/1666/. ISBN 978-0-7381-6801-2

  19. IEEE Computer Society: IEEE Standard SystemC Language Reference Manual. IEEE Std 1666-2011 (2011). http://standards.ieee.org/getieee/1666/. ISBN 978-0-7381-6802-9

  20. Klein, F., Azevedo, R., Santos, L., Araujo, G.: SystemC-based power evaluation with PowerSC. In: Rigo, S., Azevedo, R., Santos, L. (eds.) Electronic System Level Design, pp. 129–144. Springer, Dordrecht (2011). https://doi.org/10.1007/978-1-4020-9940-3_8

    Chapter  Google Scholar 

  21. Klingauf, W., Geffken, M.: Design structure analysis and transaction recording in SystemC designs: a minimal-intrusive approach. In: Forum on specification and Design Languages (FDL 2006). IEEE (2006)

  22. Kumar Rethinagiri, S., Palomar, O., Arias Moreno, J., Unsal, O., Cristal, A.: VPPET: virtual platform power and energy estimation tool for heterogeneous MPSOC based FPGA platforms. In: 2014 24th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), pp. 1–8 (2014). https://doi.org/10.1109/PATMOS.2014.6951910

  23. Lebreton, H., Vivet, P.: Power modeling in SystemC at transaction level, application to a DVFS architecture. In: IEEE Computer Society Annual Symposium on VLSI, ISVLSI’08, pp. 463–466 (2008). https://doi.org/10.1109/ISVLSI.2008.71

  24. Lee, D., John, L.K., Gerstlauer, A.: Dynamic power and performance back-annotation for fast and accurate functional hardware simulation. In: Proceedings of the 2015 Design, Automation and Test in Europe Conference and Exhibition, DATE ’15, pp. 1126–1131. EDA Consortium, San Jose, CA, USA (2015). http://dl.acm.org/citation.cfm?id=2755753.2757074

  25. Lorenz, D., Grüttner, K., Bombieri, N., Guarnieri, V., Bocchio, S.: From RTL IP to functional system-level models with extra-functional properties. In: Proceedings of the 10th Intl. Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS’2012), pp. 547–556 (2012)

  26. Lorenz, D., Grüttner, K., Nebel, W.: Data- and state-dependent power characterisation and simulation of black-box RTL IP components at system level. In: 17th Euromicro Conference on Digital Systems Design (DSD 2014) (2014)

  27. Lorenz, D., Grüttner, K., Nebel, W.: Data-and state-dependent power characterisation and simulation of black-box RTL IP components at system level. In: 17th Euromicro Conference on Digital System Design, DSD 2014, Verona, Italy, August 27–29, 2014, pp. 129–136. IEEE Computer Society (2014). https://doi.org/10.1109/DSD.2014.89

  28. Lorenz, D., Hartmann, P.A., Grüttner, K., Nebel, W.: Non-invasive power simulation at system-level with SystemC. In: Ayala, J.L., Shang, D., Yakovlev, A. (eds.) Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation, Lecture Notes in Computer Science, vol. 7606, pp. 21–31. Springer, Berlin (2013). https://doi.org/10.1007/978-3-642-36157-9_3

    Chapter  Google Scholar 

  29. Macii, E., Pedram, M., Somenzi, F.: High-level power modeling, estimation, and optimization. IEEE Trans. Comput. Aided Des. 17, 1061–1079 (1998)

    Article  Google Scholar 

  30. Maehne, T., Vachoux, A.: Supporting dimensional analysis in SystemC-AMS. In: IEEE Behavioral Modeling and Simulation Workshop (BMAS 2009), pp. 108–113 (2009). https://doi.org/10.1109/BMAS.2009.5338878

  31. Nebel, W., Helms, D.: High-level power estimation and analysis, Chap. 38. In: Piguet, C. (ed.) Low-Power Electronics Design, pp. 38-1–38-24. CRC Press, Boca Raton (2005)

    Google Scholar 

  32. Open Virtual Platforms (OVP). http://www.ovpworld.org. Accessed 29 May 2015

  33. Rethinagiri, S.K., Palomar, O., Ben Atitallah, R., Niar, S., Unsal, O., Kestelman, A.C.: System-level power estimation tool for embedded processor based platforms. In: Proceedings of the 6th Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, RAPIDO ’14, pp. 5:1–5:8. ACM, New York, NY, USA (2014). https://doi.org/10.1145/2555486.2555491

  34. Sander, B., Schnerr, J., Bringmann, O.: ESL power analysis of embedded processors for temperature and reliability estimations. In: Proceedings of the 7th IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS ’09, pp. 239–248. ACM (2009). https://doi.org/10.1145/1629435.1629469

  35. Standard SystemC AMS extensions 2.0 Language Reference Manual. Accellera Systems Initiative (2013). http://accellera.org/downloads/standards/systemc. Accessed 29 May 2015

  36. Schreiner, S., Grüttner, K., Rosinger, S., Nebel, W.: Ein verfahren zur bestimmung eines powermodells von xilinx microblaze mpsocs zur verwendung in virtuellen plattformen. In: U. Heinkel, D. Kriesten, M. Rößler (eds.) Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, MBMV 2015, Chemnitz, Germany, March 3–4, 2015, pp. 73–82. Sächsische Landesbibliothek (2015)

  37. Schürmans, S., Onnebrink, G., Leupers, R., Ascheid, G., Chen, X.: ESL power estimation using virtual platforms with black box processor models. In: ViPES Workshop 2015 (2015)

  38. SystemC Verification Library 2.0. Accellera Systems Initiative (2014). http://accellera.org/downloads/standards/systemc. Accessed 29 May 2015

  39. Sotiriou-Xanthopoulos, E., Shalina, G., Figuli, P., Siozos, K., Economakos, G., Becker, J.: A power estimation technique for cycle-accurate higher-abstraction SystemC-based CPU models. In: International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (2015)

  40. Sotiriou-Xanthopoulos, E., Xydis, S., Siozios, K., Economakos, G., Soudris, D.: Effective platform-level exploration for heterogeneous multicores exploiting simulation-induced slacks. In: Proceedings of Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures and Design Tools and Architectures for Multicore Embedded Computing Platforms, PARMA-DITAM ’14, pp. 13:13–13:16. ACM, New York, NY, USA (2014). https://doi.org/10.1145/2556863.2556864

  41. Stattelmann, S., Bringmann, O., Rosenstiel, W.: Dominator homomorphism based code matching for source-level simulation of embedded software. In: Proceedings of the Seventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS ’11, pp. 305–314. ACM (2011). https://doi.org/10.1145/2039370.2039417

  42. Stattelmann, S., Bringmann, O., Rosenstiel, W.: Fast and accurate source-level simulation of software timing considering complex code optimizations. In: Proceedings of the 48th Design Automation Conference, DAC ’11, pp. 486–491. ACM (2011). https://doi.org/10.1145/2024724.2024838

  43. Stattelmann, S., Gebhard, G., Cullmann, C., Bringmann, O., Rosenstiel, W.: Hybrid source-level simulation of data caches using abstract cache models. In: W. Rosenstiel, L. Thiele (eds.) 2012 Design, Automation and Test in Europe Conference and Exhibition, DATE 2012, Dresden, Germany, March 12–16, 2012, pp. 376–381. IEEE (2012). https://doi.org/10.1109/DATE.2012.6176500

  44. Streubuhr, M., Rosales, R., Hasholzner, R., Haubelt, C., Teich, J.: ESL power and performance estimation for heterogeneous MPSoCS using SystemC. In: 2011 Forum on Specification and Design Languages (FDL’11), pp. 1–8 (2011)

  45. Synopsys: Virtualizer. http://www.synopsys.com/systems/virtualprototyping/. Accessed 29 May 2015

  46. Synopsys, Inc.: SCML Source Code Library. http://www.synopsys.com/cgi-bin/slcw/kits/reg.cgi. Accessed 29 May 2015

  47. Underbit Technologies, Inc.: libmad—MPEG audio decoder library. http://www.underbit.com/products/mad. Accessed 29 May 2015

  48. Synopsys CoMET. http://www.synopsys.com/. Accessed 29 May 2015

  49. Vece, G.B., Conti, M., Orcioni, S.: PK tool 2.0: a SystemC environment for high level power estimation. In: 12th IEEE International Conference on Electronics, Circuits and Systems, 2005. ICECS 2005 (2005). https://doi.org/10.1109/ICECS.2005.4633501

  50. Walravens, C., Vanderperren, Y., Dehaene, W.: ActivaSC: a highly efficient and non-intrusive extension for activity-based analysis of SystemC models. In: Proceedings of the 46th Annual Design Automation Conference, DAC’09, pp. 172–177. ACM (2009). https://doi.org/10.1145/1629911.1629959

  51. Xanthos, S., Chatzigeorgiou, A., Stephanides, G.: Energy estimation with systemc: A programmer’s perspective. In: Proceedings of the 7th WSEAS International Conference on Systems, Computational Methods in Circuits and Systems Applications, WSEAS, pp. 7–10 (2003)

  52. Zhong, L., Ravi, S., Raghunathan, A., Jha, N.: RTL-aware cycle-accurate functional power estimation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(10), 2103–2117 (2006). https://doi.org/10.1109/TCAD.2005.859504

    Article  Google Scholar 

Download references

Acknowledgements

This work has been partially supported by the EU Integrated Projects COMPLEX (FP7-247999) and CONTREX (FP7-611146), by the BMBF Projects SANITAS (01M3088C) and RESCAR 2.0 (01M3195E).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Kim Grüttner.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Grüttner, K., Hartmann, P.A., Fandrey, T. et al. A Timed-Value Stream Based ESL Timing and Power Estimation and Simulation Framework for Heterogeneous MPSoCs. Int J Parallel Prog 48, 957–1007 (2020). https://doi.org/10.1007/s10766-020-00656-0

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10766-020-00656-0

Keywords

Navigation