Skip to main content
Log in

A Gated Clock Scheme for Low Power Testing of Logic Cores

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Test power is now a big concern in large core-based systems. In this paper, we present a general approach for minimizing power consumption during test of integrated circuits or embedded cores. The proposed low power/energy technique is based on a gated clock scheme that can be used in a test-per-scan or a test-per-clock environment. The idea is to reduce the clock rate on the scan path (test-per-scan) or the test pattern generator (test-per-clock) without increasing the test time. Numerous advantages can be found in applying such a technique.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Y. Bonhomme, P. Girard, L. Guiller, C. Landrault, and S. Pravossoudovitch, “ Gated Clock Scheme for Low Power Scan Testing of Logic ICs or Embedded Cores”, IEEE Asian Test Symp., pp. 253–258, 2001.

  2. Y. Bonhomme, P. Girard, C. Landrault, and S. Pravossoudovitch, “Power Driven Chaining of Flip-flops in Scan Architectures,” IEEE Int. Test Conf., pp. 796–803, 2002.

  3. F. Brglez and H. Fujiwara, “A Neutral Netlist of 10 Combinational Benchmark Circuits and a Target Translator in Fortran,” IEEE Int. Symp. on Circuits and Systems, pp. 663–698, 1985.

  4. F. Brglez, D. Bryant, and K. Kozminski, “Combinational Profiles of Sequential Benchmark Circuits,” IEEE Int. Symp. on Circuits and Systems, pp. 1929–1934, 1989.

  5. M.L. Bushnell and V.D. Agrawal, “Essentials of Electronic Testing,” Kluwer Academic Publishers, 2000.

  6. R.M. Chou, K.K. Saluja, and V.D. Agrawal, “Power Constraint Scheduling of Tests,” IEEE Int. Conf. on VLSI Design, pp. 271–274, 1994.

  7. A. Crouch, Design-for-Test for Digital IC’s and Embedded Core Systems, Prentice Hall ISNB 0-13-084827-1, 1999.

  8. F. Corno, P. Prinetto, M. Rebaudengo, and M. Sonza Reorda, “A Test Pattern Generation Methodology for Low Power Consumption,” IEEE VLSI Test Symp., pp. 453–459, 1998.

  9. F. Corno, M. Rebaudengo, M. Sonza Reorda, G. Squillero, and M. Violente, “Low Power BIST via Non-Linear Hybrid Cellular Automata,” IEEE VLSI Test Symp., pp. 29–34, 2000.

  10. V. Dabholkar, S. Chakravarty, I. Pomeranz, and S.M. Reddy, “Techniques for Reducing Power Dissipation During Test Application in Full Scan Circuits,” IEEE Transactions on CAD, vol. 17, no. 12, pp. 1325–1333, 1998.

    Google Scholar 

  11. E.B. Eichelberger and E. Lindbloom, “Random-Pattern Coverage Enhancement and Diagnosis for LSSD Logic Self-Test,” IBM Journal of Research and Developments, vol. 27, N° 3, pp. 265–272, 1983.

  12. S. Gerstendörfer and H.J. Wunderlich, “Minimized Power Consumption for Scan-based BIST,” IEEE Int. Test Conf., September 1999, pp. 77–84.

  13. P. Girard, C. Landrault, S. Pravossoudovitch, and D. Severac, “Reducing Power Consumption during Test Application by Test Vector Ordering,” IEEE Int. Symp. on Circuits and Systems, CD-Rom proceedings, June 1998.

  14. P. Girard, L. Guiller, C. Landrault, and S. Pravossoudovitch, “A Test Vector Inhibiting Technique for Low Energy BIST Design,” IEEE VLSI Test Symp., 1999, pp. 407–412.

  15. P. Girard, L. Guiller, C. Landrault, and S. Pravossoudovitch, “A Test Vector Ordering Technique for Switching Activity Reduction during Test Operation,” IEEE Great Lakes Symp. on VLSI, March 1999, pp. 24–27.

  16. P. Girard, L. Guiller, C. Landrault, S. Pravossoudovitch, J. Figueras, S. Manich, P. Teixeira, and M. Santos, “Low Energy BIST Design : Impact of the LFSR TPG Parameters on the Weighted Switching Activity,” IEEE Int. Symp. on Circuits and Systems, CD-ROM proceedings, June 1999.

  17. P. Girard, L. Guiller, C. Landrault, and S. Pravossoudovitch, “Low Power BIST Design by Hypergraph Partitioning: Methodology and Architectures,” IEEE Int. Test Conf., 2000, pp. 652–661.

  18. P. Girard, L. Guiller, C. Landrault, S. Pravossoudovitch, and H.-J. Wunderlich “A Modified Clock Scheme for a Low Power BIST Test Pattern Generator,” IEEE VLSI Test Symp., pp. -, 2001.

  19. P. Girard, “Survey of Low-Power Testing of VLSI Circuits,” IEEE Design and Test of Computers, May-June 2002, pp. 82–92.

  20. A. Hertwig and H.J. Wunderlich, “Low Power Serial Built-In Self-Test,” IEEE European Test Workshop, May 1998, pp. 49–53.

  21. K.-J. Lee, T.-C. Huang, and J.-J. Chen, “Peak-Power Reduction for Multiple-Scan Circuits during Test Application,” IEEE Asian Test Symp., 2000, pp. 453–458.

  22. S. Manich, A. Gabarro, J. Figueras, P. Girard, L. Guiller, C. Landrault, and S. Pravossoudovitch, P. Teixeira, and M. Santos, “Low Power BIST by Filtering Non-Detecting Vectors,” IEEE European Test Workshop, 1999, pp.165–170.

  23. N. Nicolici and B. Al-Hashimi, Power-Constrained Testing of VLSI Circuits, Springer Publishers, 2003.

  24. M. Pedram, “Power Minimization IC Design: Principles and Applications,” ACM Trans. on Design Automation of Electronic Systems, vol. 1, no. 1, pp. 3–56, 1996.

    Article  Google Scholar 

  25. B. Pouya and A. Crouch, “Optimization Trade-offs for Vector Volume and Test Power,” IEEE Int. Test Conf., 2000, pp. 873–881.

  26. PowerMill, Version 5.4, Synopsys Inc., 2000.

  27. P. Rosinger, B. Al-Hashimi, and N. Nicolici, “Scan Architecture with Mutually Exclusive Scan Segment Activation for Shift- and Capture-Power Reduction,” IEEE Trans. on CAD, vol. 23, no. 7, pp. 1142–1153, July 2004.

    Google Scholar 

  28. R. Sankaralingam, R. Oruganti, and N. Touba, “Static Compaction Techniques to Control Scan Vector Power Dissipation,” IEEE VLSI Test Symp., 2000, pp. 35–42.

  29. J. Saxena, K.M. Butler, V.B. Jayaram, S. Kundu, N.V. Arvind, P. Sreeprakash, and M. Hachinger, “A Case Study of IR-Drop in Structured At-Speed Testing,” IEEE Int’l Test Conf., 2003, pp. 1098–1104.

  30. Semiconductor Industry Association (SIA), “International Technology Roadmap for Semiconductors (ITRS),” 2003 Edition.

  31. C. Shi and R. Kapur, “How Power Aware Test Improves Reliability and Yield,” IEEDesign.com, September 15, 2004.

  32. TestGen, Version 5.3, Synopsys Inc., 1999.

  33. S. Wang and S. Gupta, “DS-LFSR: A New BIST TPG for Low Heat Dissipation,” IEEE Int. Test Conf., 1997, pp. 848–857.

  34. S. Wang and S.K. Gupta, “ATPG for Heat Dissipation Minimization During Scan Testing,” ACM/IEEE Design Auto. Conf., June 1997, pp. 614–619.

  35. S. Wang and S.K. Gupta, “LT-RTPG: A New Test-Per-Scan BIST TPG for Low Heat Dissipation,” IEEE Int. Test Conf., September 1999, pp. 85–94.

  36. L. Whetsel, “Adapting Scan Architectures for Low Power Operation,” IEEE Int. Test Conf., 2000, pp. 863–872.

  37. J. Saxena, K.M. Butler, and L. Whetsel, “An Analysis of Power Reduction Techniques in Scan Testing,” IEEE Int, Test Conf., 2001, pp. 670–677.

  38. X. Zhang, K. Roy, and S. Bhawmik, “POWERTEST: A Tool for Energy Conscious Weighted Random Pattern Testing,” IEEE Int. Conf. on VLSI Design, 1999.

  39. Y. Zorian, “A Distributed BIST Control Scheme for Complex VLSI Devices,” IEEE VLSI Test Symp., 1993, pp. 4–9.

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Yannick Bonhomme.

Additional information

This work has been partially funded by the French government under the framework of the MEDEA+ A503 “ASSOCIATE” European project. Preliminary versions of this work were presented at VTS 2001 [18] and ATS 2001 [1].

Yannick Bonhomme received a M.Sc. degree in Electrical Engineering (1998) and a Ph.D. degree in Microelectronics (2003) from the University of Montpellier (France). From 2003 to 2004, he spent one year as full researcher at Nara institute of Science and Technology (NAIST) in Japan. He is now a researcher at CEA-LIST (Commissariat à l’Energie Atomique - Laboratoire d’Intégration des Systèmes et des Technologies, http://www-list.cea.fr) Saclay, France. His research interests are in test, design for test, online test and BIST.

Patrick Girard received a M.S. degree in Electrical Engineering and a Ph.D. degree in Microelectronics from the University of Montpellier, France, in 1988 and 1992 respectively. He is currently Research Director at CNRS (French National Center for Scientific Research), and works in the Microelectronics Department of the LIRMM (Laboratory of Informatics, Robotics and Microelectronics of Montpellier - France). Patrick Girard is the Editor-in-Chief of the ASP Journal of Low Power Electronics, and an Associate Editor of the IEEE Transactions on Computers. He is on the technical program committee of the ACM/IEEE Design Automation Conference (DAC), ACM/IEEE Design Automation and Test in Europe (DATE), IFIP VLSI-SOC Conference, IEEE VLSI Test Symposium (VTS), IEEE European Test Symposium (ETS), IEEE International On-Line Testing Symposium (IOLTS), IEEE Asian Test Symposium (ATS), IEEE Reconfigurable Architecture Workshop (RAW), IEEE International Workshop on Power And Timing Modeling, Optimization and Simulation (PATMOS) and IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems (DDECS). He has served as Program vice-Chair for the International Conference on Embedded And Ubiquitous Computing (EUC) in 2005 and as Program Chair for the IEEE International Workshop on Electronic Design, Test & Applications (DELTA) in 2006. Patrick Girard has been involved in several European research projects (ESPRIT III ATSEC, EUREKA MEDEA, MEDEA+ ASSOCIATE, IST MARLOW, MEDEA+ NanoTEST) and has managed several industrial research contracts. His research interests include the various aspects of digital testing, with special emphasis on DfT, BIST, diagnosis, delay testing, FPGA testing, power-aware testing and memory testing. He has published 1 book, 25 journal papers, and more than 80 conference and symposium papers on these fields.

Loïs Guiller was born in 1973. He received the MSc degree in Electrical Engineering in 1997 and the PhD degree in Microelectronics in 2000 from the University of Montpellier, France. His research interests include VLSI design and testing. He is currently a Research and Development Engineer at Synopsys, Mountain View, USA.

Christian Landrault received the Certified Engineering degree from the Higher National School for Aeronautical Constructions (ENSICA), Toulouse, France in 1970, the Doctor in Engineering degree in Automatic Control, and the Doctor es-sciences degree in Computer Science from the National Polytechnic Institute of Toulouse, in 1973 and 1977, respectively. He is currently a “Directeur de Recherche" at CNRS ("National scientific Research Center"). He joined LAAS-CNRS in Toulouse in 1970. From 1970 to 1980, he worked on self checking circuits, dependability architecture and dependability evaluation of digital systems.Since 1980, he is working with LIRMM (“Laboratoire d’Informatique de Robotique et de Microélectronique de Montpellier", http://www.lirmm.fr) where he was head of the Microelectronics research Department till January 2001. C. Landrault’s scientific interests include fault modelling, digital and memory testing, fault simulation, ATPG, design for testability and BIST. Christian Landrault has authored or co-authored over 150 papers for international and national journals and conferences and has supervised 27 PhD dissertations on Electronic Design Automation. Dr. Landrault is serving most of the test conference Programme Committees (ITC, VTS, ICCAD, DATE, ATS, ETS) and is a member of the editorial board of the Journal of Electronic Testing and Applications (Kluwer). Dr. Landrault has founded the European Test Workshop, and has served as General Chair in 1996, and as Programme Chair in 1998 and 1999. ETW became the European Test Symposium in 2004 with Dr Landrault chairing its steering committee. Dr Landrault has been the elected chair of the European group of the Test Technology Technical Council of the IEEE Computer Society from 1998 to 2001. He is the actual Chair of the European Sub-committee of the International Test Conference Programme Committee. Dr. Landrault is a Golden Core Member of the IEEE Computer Society.

Serge Pravossoudovitch was born in 1957. He is currently professor in the electrical and computer engineering department of the University of Montpellier and his research activities are performed at LIRMM (Laboratoire d’Informatique, de Robotique et de Microélectronique de Montpellier). He got the PhD degree in electrical engineering in 1983 for his work on symbolic layout for IC design. Since 1984, he is working in the testing domain. He obtained the “doctorat d’état” degree in 1987 for his work on switch level automatic test pattern generation. He is presently interested in memory testing, delay fault testing, design for testability and power consumption optimization. He has authored and co-authored numerous papers on these fields, and has supervised several PhD dissertations. He has also participated to several European projects (Microelectronic regulation, Esprit, Medea).

Arnaud Virazel was born in Montpellier (France) in 1974. He received the B.Sc. (1995), the M.Sc. (1997) degrees in Electrical Engineering and the PhD (2001) degree in Microelectronics, all from the University of Montpellier. He is currently Assistant Professor at the University of Montpellier, and works in the Microelectronics Department of the LIRMM (Laboratory of Informatics, Robotics and Microelectronics of Montpellier - France). He is on the technical program committee of the International Conference on Embedded And Ubiquitous Computing (EUC) in 2005. Arnaud Virazel has been involved in several European research projects (MEDEA+ ASSOCIATE, MEDEA+ NanoTEST). His research interests include the various aspects of digital testing, with special emphasis on DfT, BIST, diagnosis, delay testing, power-aware testing and memory testing.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Bonhomme, Y., Girard, P., Guiller, L. et al. A Gated Clock Scheme for Low Power Testing of Logic Cores. J Electron Test 22, 89–99 (2006). https://doi.org/10.1007/s10836-006-6259-1

Download citation

  • Received:

  • Revised:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-006-6259-1

Keywords

Navigation