Skip to main content
Log in

A Built-In Redundancy-Analysis Scheme for Random Access Memories with Two-Level Redundancy

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Built-in self-repair (BISR) technique is a popular method for repairing defective embedded memories. To allocate redundancy efficiently, built-in redundancy-analysis (BIRA) component is a core component in a BISR design. This paper presents a BIRA scheme for RAMs with two-level redundancy, i.e., spare rows, spare columns, and spare words. A compressed local bitmap is used to collect faulty information for redundancy allocation. Then an efficient redundancy analysis algorithm based on the compressed local bitmap is proposed to allocate redundancy. Experimental results show that the repair rate (the ratio of the number of repaired memories to the number of defective memories) of the proposed redundancy analysis algorithm approaches to that of the exhaustive search algorithm. Also, area overhead of the proposed BIRA scheme is low. It is only about 2% for an 8K × 64-bit RAM with three spare rows, three spare columns, and two spare words.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10

Similar content being viewed by others

References

  1. Bhavsar DK (1999) An algorithm for row-column self-repair of RAMs and its implementation in the Alpha 21264. In: Proc. Int’l Test Conf. (ITC). Atlantic City, pp 311–318, Sept

  2. Huang C-T, Wu C-F, Li J-F, Wu C-W (2003) Built-in redundancy analysis for memory yield improvement. IEEE Trans Reliab 52(4):386–399, Dec

    Article  Google Scholar 

  3. Huang R-F, Li J-F, Yeh J-C, Wu C-W (2002) A simulator for evaluating redundancy analysis algorithms of repairable embedded memories. In: Proc. IEEE Int’l Workshop on Memory Technology, Design and Testing (MTDT). Isle of Bendor, France, pp 68–73, July

  4. Huang W-K, Shen Y-N, Lombardi F (1990) New approaches for the repair of memories with redundancy by row/column deletion for yield enhancement. IEEE Trans Comput-Aided Des Integr Circuits Syst 9(3):323–328, Mar

    Article  Google Scholar 

  5. Kawagoe T, Ohtani J, Niiro M, Ooishi T, Hamada M, Hidaka H (2000) A built-in self-repair analyzer (CRESTA) for embedded DRAMs. In: Proc. Int’l Test Conf. (ITC), pp 567–574

  6. Kim I, Zorian Y, Komoriya G, Pham H, Higgins FP, Lweandowski JL (1998) Built in self repair for embedded high density SRAM. In: Proc. Int’l Test Conf. (ITC), pp 1112–1119, Oct

  7. Kuo S-Y, Fuchs WK (1987) Efficient spare allocation in reconfigurable arrays. IEEE Des Test Comput 4(1):24–31, Feb

    Article  Google Scholar 

  8. Li J-F, Wu C-W (2001) Memory fault diagnosis by syndrome compression. In: Proc. Conf. Design, Automation, and Test in Europe (DATE). Munich, pp 97–101, Mar

  9. Li J-F, Yeh J-C, Huang R-F, Wu C-W (2005) A built-in self-repair design for rams with 2-d redundancies. IEEE Trans Very Large Scale Integr (VLSI) Syst 13(6):742–745, June

    Article  Google Scholar 

  10. Lu S-K, Tsai Y-C, Hsu C-H, Wang K-H, Wu C-W (2006) Efficient built-in redundancy analysis for embedded memories with 2-D redundancy. IEEE Trans Very Large Scale Integr (VLSI) Syst 14(1):34–42, Jan

    Article  Google Scholar 

  11. Nakahara S, Higeta K, Kohno M, Kawamura T, Kakitani K (1999) Built-in self-test for GHz embedded SRAMs using flexible pattern generator and new repair algorithm. In: Proc. Int’l Test Conf. (ITC), pp 301–310

  12. Schober V, Paul S, Picot O (2001) Memory built-in self-repair using redundant words. In: Proc. Int’l Test Conf. (ITC). Baltimore, pp 995–1001, Oct

  13. Semiconductor Industry Association (2005) International technology roadmap for semiconductors (ITRS), 2005 edn. Seoul, Korea, Dec

  14. Tanabe A, Takeshima T, Koike H, Aimoto Y, Takada M, Ishijima T, Kasai N, Hada H, Shibahara K, Kunio T, Tanigawa T, Saeki T, Sakao M, Miyamoto H, Nozue H, Ohya S, Murotani T, Koyama K, Okuda T (1992) A 30-ns 64-Mb DRAM with built-in self-test and self-repair function. IEEE J Solid-State Circuits 27(11):1525–1533, Nov

    Article  Google Scholar 

  15. Tseng T-W, Li J-F, Chang D-M (2006) A built-in redundancy-analysis scheme for rams with 2D redundancy using 1D local bitmap. In: Proc. Conf. Design, Automation, and Test in Europe (DATE). Munich, pp 53–58, Mar

  16. Tseng T-W, Li J-F, Hsu C-C, Pao A, Chiu K, Chen E (2006) A reconfigurable built-in self-repair scheme for multiple repairable rams in socs. In: Proc. Int’l Test Conf. (ITC). Santa Clara, pp 1–8, Oct.

  17. Wang C-W, Wu C-F, Li J-F, Wu C-W, Teng T, Chiu K, Lin H-P (2002) A built-in self-test and self-diagnosis scheme for embedded SRAM. J Elect Testing Theory Applic 18(6): 637–647, Dec

    Article  Google Scholar 

  18. Wey C-L, Lombardi F (1987) On the repair of redundant RAM’s. IEEE Trans Comput-Aided Des Integr Circuits Syst 6(3):222–231, Mar

    Google Scholar 

  19. Xiaogang D, Reddy SM, Cheng W-T, Rayhawk J, Mukherjee N (2004) At-speed built-in self-repair analyzer for embedded word-oriented memories. In: International Conference on VLSI Design. Mumbai, pp 895–900, Jan

  20. Zorian Y (2002) Embedded memory test & repair: Infrastructure IP for SOC yield. In: Proc. Int’l Test Conf. (ITC). Baltmore, pp 340–349, Oct

Download references

Acknowledgment

This work was supported in part by National Science Council, Taiwan, R.O.C., under contract NSC 96-2221-E-008-094 and MOEA, Taiwan, R.O.C., under contract 96-EC-17-A-01-S1-002.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jin-Fu Li.

Additional information

Responsible Editor: N. A. Touba

Rights and permissions

Reprints and permissions

About this article

Cite this article

Chang, DM., Li, JF. & Huang, YJ. A Built-In Redundancy-Analysis Scheme for Random Access Memories with Two-Level Redundancy. J Electron Test 24, 181–192 (2008). https://doi.org/10.1007/s10836-007-5032-4

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-007-5032-4

Keywords

Navigation