Skip to main content
Log in

Low Capture Switching Activity Test Generation for Reducing IR-Drop in At-Speed Scan Testing

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

At-speed scan testing, based on ATPG and ATE, is indispensable to guarantee timing-related test quality in the DSM era. However, at-speed scan testing may incur yield loss due to excessive IR-drop caused by high test (shift & capture) switching activity. This paper discusses the mechanism of circuit malfunction due to IR-drop, and summarizes general approaches to reducing switching activity, by which highlights the problem of current solutions, i.e. only reducing switching activity for one capture while the widely used at-speed scan testing based on the launch-off-capture scheme uses two captures. This paper then proposes a novel X-filling method, called double-capture (DC) X-filling, for generating test vectors with low and balanced capture switching activity for two captures. Applicable to dynamic & static compaction in any ATPG system, DC X-filling can reduce IR-drop, and thus yield loss, without any circuit/clock modification, timing/circuit overhead, fault coverage loss, and additional design effort.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14

Similar content being viewed by others

References

  1. Bushnell ML, Agrawal VD (2000) Essentials of electronic testing for digital, memory & mixed-signal VLSI circuits. Kluwer Academic Publishers, New York

    Google Scholar 

  2. Butler KM, Saxena J, Fryars T, Hetherington G, Jain A, Levis J (2004) Minimizing power consumption in scan testing: pattern generation and DFT techniques. In: Proceedings of IEEE international test conference, Charlotte, October 26–28, pp 355–364

  3. Chandra A, Chakrabarty K (2002) Reduction of SoC test data volume, scan power and testing time using alternating run-length codes. In: Proceedings of design automation conference, New Orleans, June, pp 673–678

  4. Corno F, Prinetto P, Redaudengo M, Reorda M (1998) A test pattern generation methodology for low power consumption. In: Proceedings of VLSI test symposium, Montreal, April, pp 35–40

  5. Corno F, Prinetto P, Redaudengo M, Reorda M (2000) A test pattern generation methodology for low power consumption. In: Proceedings of VLSI test symposium, Montreal, May, pp 35–40

  6. El-Maleh AH, Al-Utaibi K (2004) An efficient test relaxation technique for synchronous sequential circuits. IEEE Trans on Comput-Aided Des 23(6):933–940

    Article  Google Scholar 

  7. Girard P (2002) Survey of low-power testing of VLSI circuits. IEEE Des Test Comput 19(3):82–92

    Article  MathSciNet  Google Scholar 

  8. Kokrady A, Ravikumar CP (2004) Fast, layout-aware validation of test vectors for nanometer-related timing failures. In: Proceedings of international conference on VLSI design, Mumbai, January, pp 597–602

  9. Lee K, Huang T, Chen J (2000) Peak-power reduction for multiple-scan circuits during test application. In: Proceedings of Asian test symposium, Taipei, December, pp 435–440

  10. Li W, Reddy SM, Pomeranz I (2005) On reducing peak current and power during test. In: Proceedings of IEEE computer society annual symposium on VLSI, Tampa, May, pp 156–161

  11. Lin X, Press R, Rajski J, Reuter P, Rinderknecht T, Swanson B, Tamarapalli N (2003) High-frequency, at-speed scan testing. IEEE Des Test Comput: 17–25, September–October

  12. Mitra S, Volkerink E, McCluskey E, Eichenberger S (2004) Delay defect screening using process monitor structures. In: Proceedings of VLSI Test Symposium, Napa Valley, May, pp 43–52

  13. Miyase K, Kajihara S (2004) XID: don’t care identification of test patterns for combinational circuits. IEEE Trans Comput-Aided Des Integr Circuits Syst 23(2):321–326

    Article  Google Scholar 

  14. Nicolici N, Al-Hashimi B (2003) Power-constrained testing of VLSI circuits. Kluwer Academic Publishers

  15. Nourani M, Tehranipoor M, Ahmed N (2005) Pattern generation and estimation for power supply noise analysis. In: Proceedings of VLSI Test Symposium, Palm Springs, May, pp 439–444

  16. Remersaro S, Lin X, Zhang Z, Reddy SM, Pomeranz I, Rajski J (2006) Preferred fill: a scalable method to reduce capture power for scan based designs. In: Proceedings of the international test conference, Santa Clara, October, Paper 32.2

  17. Sankaralingam R, Oruganti R, Touba N (2000) Static compaction techniques to control scan vector power dissipation. In: Proceedings of VLSI Test Symposium, Montreal, May, pp 35–40

  18. Saxena J, Butler KM, Jayaram VB, Kundu S (2003) A case study of IR-drop in structured at-speed testing. In: Proceedings of international test conference, Charlotte, September, pp 1098–1104

  19. Wang S, Wei W (2007) A technique to reduce peak current and average power dissipation in scan designs by limited capture. In: Proceedings of Asian South Pacific design automation conference, Yokohama, January, pp 810–816

  20. Wang J, Walker DMH, Majhi A, Kruseman B, Gronthoud G, Villagra LE, Wiel P, Eichenberger S (2006) Power supply noise in delay testing. In: Proceedings of the international test conference, Santa Clara, October, Paper 17.3

  21. Wang L-T, Wu C-W, Wen X (eds) (2006) VLSI Test Principles and Architectures: Design for Testability. Elsevier

  22. Wen X, Yamashita Y, Morishima S, Kajihara S, Wang L-T, Saluja KK, Kinoshita K (2005) Low-capture-power test generation for scan-based at-speed testing. In: Proceedings of the international test conference, Austin, October, Paper 39-2

  23. Wen X, Yamashita Y, Morishima S, Kajiihara S, Wang L-T, Saluja KK, Kinoshita K (2005) On low-capture-power test generation for scan testing. In: Proceedings of the VLSI test symposium, Palm Springs, May, pp 265–270

  24. Wen X, Kajihara S, Miyase K, Suzuki T, Saluja KK, Wang L-T, Abdel-Hafez KS, Kinoshita K (2006) A new ATPG method for efficient capture power reduction during scan testing. In: Proceedings of the VLSI test symposium, Berkeley, May, pp 58–63

  25. Wen X, Miyase K, Suzuki T, Yamato Y, Kajihara S, Wang L-T, Saluja KK (2006) A highly-guided X-filling method for effective low-capture-power scan test generation. In: Proceedings of the international conference on computer design, San Jose, October, pp 251–258

  26. Yoshida T, Watari M (2003) MD-scan method for low power scan testing. In: Proceedings of the international test conference, Charlotte, September, pp 480–487

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Xiaoqing Wen.

Additional information

Responsible Editor: N. Nicolici

Rights and permissions

Reprints and permissions

About this article

Cite this article

Wen, X., Miyase, K., Suzuki, T. et al. Low Capture Switching Activity Test Generation for Reducing IR-Drop in At-Speed Scan Testing. J Electron Test 24, 379–391 (2008). https://doi.org/10.1007/s10836-007-5033-3

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-007-5033-3

Keywords

Navigation